OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdTop/] [src/] [SdTop-Rtl-ea.vhdl] - Diff between revs 54 and 65

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 54 Rev 65
Line 15... Line 15...
        port (
        port (
                iClk : in std_ulogic;
                iClk : in std_ulogic;
                inResetAsync : in std_ulogic;
                inResetAsync : in std_ulogic;
 
 
                -- SD Card
                -- SD Card
                ioCmd : inout std_logic -- Cmd line to and from card
                ioCmd : inout std_logic; -- Cmd line to and from card
 
                oClk : out std_ulogic;
 
                ioData : inout std_logic_vector(3 downto 0)
        );
        );
end entity SdTop;
end entity SdTop;
 
 
architecture Rtl of SdTop is
architecture Rtl of SdTop is
 
 
        signal ToController : aSdCmdToController;
        signal ToController : aSdCmdToController;
        signal FromController : aSdCmdFromController;
        signal FromController : aSdCmdFromController;
 
 
begin
begin
 
        ioData <= "ZZZZ";
 
        oClk <= iClk;
 
 
        SdController_inst: entity work.SdController(Rtl)
        SdController_inst: entity work.SdController(Rtl)
        port map (iClk => iClk,
        port map (iClk => iClk,
                          inResetAsync => inResetAsync,
                          inResetAsync => inResetAsync,
                          iSdCmd => ToController,
                          iSdCmd => ToController,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.