URL
https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk
Show entire file |
Details |
Blame |
View Log
Rev 6 |
Rev 8 |
Line 53... |
Line 53... |
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
|
|
entity sha256_ki_rom is
|
entity sha256_ki_rom is
|
port (
|
port (
|
K0_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K0_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K1_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K1_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K2_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K2_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K3_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K3_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K4_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K4_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K5_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K5_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K6_o : out std_logic_vector (31 downto 0) := (others => 'X');
|
K6_o : out std_logic_vector (31 downto 0) := (others => 'U');
|
K7_o : out std_logic_vector (31 downto 0) := (others => 'X')
|
K7_o : out std_logic_vector (31 downto 0) := (others => 'U')
|
);
|
);
|
end sha256_ki_rom;
|
end sha256_ki_rom;
|
|
|
architecture behavioral of sha256_ki_rom is
|
architecture behavioral of sha256_ki_rom is
|
begin
|
begin
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.