OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] [syn/] [sha256/] [sha256_msg_sch.vhd] - Diff between revs 6 and 10

Show entire file | Details | Blame | View Log

Rev 6 Rev 10
Line 52... Line 52...
 
 
 
 
entity sha256_msg_sch is
entity sha256_msg_sch is
    port (
    port (
        clk_i : in std_logic := 'U';                                            -- system clock
        clk_i : in std_logic := 'U';                                            -- system clock
        ce_i : in std_logic := 'U';                                             -- clock enable from control logic
        ce_i : in std_logic := 'U';                                             -- clock input to word shifter
        ld_i : in std_logic := 'U';                                             -- internal mux selection from control logic
        ld_i : in std_logic := 'U';                                             -- transparent load input to output
        M_i : in std_logic_vector (31 downto 0) := (others => 'U');             -- big endian input message words
        M_i : in std_logic_vector (31 downto 0) := (others => 'U');             -- big endian input message words
        Wt_o : out std_logic_vector (31 downto 0)                               -- message schedule output words
        Wt_o : out std_logic_vector (31 downto 0)                               -- message schedule output words
    );
    );
end sha256_msg_sch;
end sha256_msg_sch;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.