OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [tags/] [version_1_1/] [source/] [addacc.vhdl] - Diff between revs 13 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 14
Line 1... Line 1...
-- $Id: addacc.vhdl,v 1.2 2005-02-21 06:54:22 arif_endro Exp $
-- $Id: addacc.vhdl,v 1.3 2005-03-04 08:06:10 arif_endro Exp $
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title       : Accumulator and Adder
-- Title       : Accumulator and Adder
-- Project     : FM Receiver 
-- Project     : FM Receiver 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File        : addacc.vhdl
-- File        : addacc.vhdl
Line 39... Line 39...
-- 
-- 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_arith.all;
 
 
 
entity addacc is
entity addacc is
   port (
   port (
    clock  : in  bit;
    clock  : in  bit;
    acc    : in  bit_vector (17 downto 0);
    acc    : in  bit_vector (17 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.