Line 1... |
Line 1... |
-- $Id: bench.vhdl,v 1.1.1.1 2005-01-04 02:05:56 arif_endro Exp $
|
-- $Id: bench.vhdl,v 1.2 2005-01-10 02:33:54 arif_endro Exp $
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Title : Test Bench
|
-- Title : Test Bench
|
-- Project : FM Receiver
|
-- Project : FM Receiver
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- File : bench.vhdl
|
-- File : bench.vhdl
|
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
|
-- Author : "Arif E. Nugroho" <arif_endro@yahoo.com>
|
-- Created : 2004/12/23
|
-- Created : 2004/12/23
|
-- Last update :
|
-- Last update : 2005/01/08
|
-- Simulators : Modelsim 6.0
|
-- Simulators : Modelsim 6.0
|
-- Synthesizers:
|
-- Synthesizers:
|
-- Target :
|
-- Target :
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Description : Test bench for FM receiver
|
-- Description : Test bench for FM receiver
|
Line 24... |
Line 24... |
use IEEE.STD_LOGIC_unsigned.ALL;
|
use IEEE.STD_LOGIC_unsigned.ALL;
|
|
|
entity bench is
|
entity bench is
|
port (
|
port (
|
clock : in bit;
|
clock : in bit;
|
reset : in bit
|
reset : in bit;
|
-- test_signal_fm : out bit_vector (07 downto 0);
|
output_fm : out bit_vector (11 downto 0);
|
-- test_signal_fmTri : out bit_vector (07 downto 0);
|
output_fmTri : out bit_vector (11 downto 0)
|
-- output_fm : out bit_vector (11 downto 0)
|
);
|
);
|
|
-- port (
|
|
-- clock : out bit;
|
|
-- fmout : out bit;
|
|
-- reset : out bit;
|
|
-- );
|
|
end bench;
|
end bench;
|
|
|
architecture structural of bench is
|
architecture structural of bench is
|
component fm
|
component fm
|
port (
|
port (
|
Line 55... |
Line 49... |
test_signal_fm : out bit_vector (07 downto 0);
|
test_signal_fm : out bit_vector (07 downto 0);
|
test_signal_fmTri: out bit_vector (07 downto 0)
|
test_signal_fmTri: out bit_vector (07 downto 0)
|
);
|
);
|
end component;
|
end component;
|
|
|
-- signal clock : bit;
|
|
-- signal reset : bit;
|
|
signal test_signal_fm : bit_vector (07 downto 0);
|
signal test_signal_fm : bit_vector (07 downto 0);
|
signal test_signal_fmTri : bit_vector (07 downto 0);
|
signal test_signal_fmTri : bit_vector (07 downto 0);
|
signal output_fm : bit_vector (11 downto 0);
|
|
|
|
begin
|
begin
|
-- reset <= '0';
|
|
|
|
-- process
|
|
-- variable run_time : time := 1024ns;
|
|
-- begin
|
|
-- wait for run_time;
|
|
-- clear <= '1';
|
|
-- reset <= '1';
|
|
-- exit;
|
|
-- end process;
|
|
|
|
myinput : input_fm
|
myinput : input_fm
|
port map (
|
port map (
|
clock => clock,
|
clock => clock,
|
clear => reset,
|
clear => reset,
|
test_signal_fm => test_signal_fm,
|
test_signal_fm => test_signal_fm,
|
test_signal_fmTri=> test_signal_fmTri
|
test_signal_fmTri=> test_signal_fmTri
|
);
|
);
|
|
|
myfm : fm
|
myfm : fm
|
port map (
|
port map (
|
CLK => clock,
|
CLK => clock,
|
RESET => reset,
|
RESET => reset,
|
FMIN => test_signal_fm,
|
FMIN => test_signal_fm,
|
DMOUT (11 downto 0) => output_fm
|
DMOUT (11 downto 0) => output_fm
|
);
|
);
|
|
|
|
myfmTri : fm
|
|
port map (
|
|
CLK => clock,
|
|
RESET => reset,
|
|
FMIN => test_signal_fmTri,
|
|
DMOUT (11 downto 0) => output_fmTri
|
|
);
|
|
|
|
|
end structural;
|
end structural;
|
|
|
No newline at end of file
|
No newline at end of file
|