OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_12bit.vhdl] - Diff between revs 13 and 14

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 14
Line 1... Line 1...
-- $Id: adder_12bit.vhdl,v 1.2 2005-02-21 06:54:26 arif_endro Exp $
-- $Id: adder_12bit.vhdl,v 1.3 2005-03-04 08:06:13 arif_endro Exp $
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title       : Adder 12 bit
-- Title       : Adder 12 bit
-- Project     : FM Receiver 
-- Project     : FM Receiver 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File        : adder_12bit.vhdl
-- File        : adder_12bit.vhdl
Line 39... Line 39...
-- 
-- 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_arith.ALL;
 
 
 
entity adder_12bit is
entity adder_12bit is
   port (
   port (
      addend_12bit  : in  bit_vector (11 downto 0);
      addend_12bit  : in  bit_vector (11 downto 0);
      augend_12bit  : in  bit_vector (11 downto 0);
      augend_12bit  : in  bit_vector (11 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.