OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_12bit.vhdl] - Diff between revs 14 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 22
Line 1... Line 1...
-- $Id: adder_12bit.vhdl,v 1.3 2005-03-04 08:06:13 arif_endro Exp $
-- $Id: adder_12bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title       : Adder 12 bit
-- Title       : Adder 12 bit
-- Project     : FM Receiver 
-- Project     : FM Receiver 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File        : adder_12bit.vhdl
-- File        : adder_12bit.vhdl
Line 62... Line 62...
      );
      );
   end component;
   end component;
 
 
-- internal signal
-- internal signal
signal over12 : bit;
signal over12 : bit;
signal adder12_output_int : bit_vector (12 downto 0);
signal adder12_output_int : bit_vector (11 downto 0);
signal c00 : bit;
signal c00 : bit;
signal c01 : bit;
signal c01 : bit;
signal c02 : bit;
signal c02 : bit;
signal c03 : bit;
signal c03 : bit;
signal c04 : bit;
signal c04 : bit;
Line 76... Line 76...
signal c08 : bit;
signal c08 : bit;
signal c09 : bit;
signal c09 : bit;
signal c10 : bit;
signal c10 : bit;
signal c11 : bit;
signal c11 : bit;
signal c12 : bit;
signal c12 : bit;
 
signal ov  : bit;
 
 
begin
begin
 
 
c00                     <= '0';
c00                     <= '0';
over12                  <= (addend_12bit (11) xor augend_12bit (11));
over12                  <= (addend_12bit (11) xor augend_12bit (11));
adder12_output_int (12) <= ((adder12_output_int (11) and over12) or
ov                      <= ((adder12_output_int (11) and over12) or
                           (c12 and (not (over12))));
                           (c12 and (not (over12))));
adder12_output          <= adder12_output_int;
adder12_output(11 downto 00) <= adder12_output_int;
 
adder12_output(12)           <= ov;
 
 
fa11 : fulladder
fa11 : fulladder
   port map (
   port map (
      addend     => addend_12bit(11),
      addend     => addend_12bit(11),
      augend     => augend_12bit(11),
      augend     => augend_12bit(11),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.