OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_13bit.vhdl] - Diff between revs 14 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 22
Line 1... Line 1...
-- $Id: adder_13bit.vhdl,v 1.3 2005-03-04 08:06:14 arif_endro Exp $
-- $Id: adder_13bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Title       : Adder 13 bit
-- Title       : Adder 13 bit
-- Project     : FM Receiver 
-- Project     : FM Receiver 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File        : adder_13bit.vhdl
-- File        : adder_13bit.vhdl
Line 76... Line 76...
signal c10 : bit;
signal c10 : bit;
signal c11 : bit;
signal c11 : bit;
signal c12 : bit;
signal c12 : bit;
signal c13 : bit;
signal c13 : bit;
signal over13 : bit;
signal over13 : bit;
signal adder13_output_int : bit_vector (13 downto 0);
signal adder13_output_int : bit_vector (12 downto 0);
 
signal ov  : bit;
 
 
begin
begin
 
 
c00                    <= '0';
c00                    <= '0';
over13                 <= (addend_13bit (12) xor augend_13bit (12));
over13                 <= (addend_13bit (12) xor augend_13bit (12));
adder13_output_int(13) <= ((adder13_output_int(12) and over13) or
ov                     <= ((adder13_output_int(12) and over13) or
                          (c13 and (not (over13))));
                          (c13 and (not (over13))));
adder13_output         <= adder13_output_int;
adder13_output(12 downto 00) <= adder13_output_int;
 
adder13_output(13)           <= ov;
 
 
fa12 : fulladder
fa12 : fulladder
   port map (
   port map (
      addend     => addend_13bit(12),
      addend     => addend_13bit(12),
      augend     => augend_13bit(12),
      augend     => augend_13bit(12),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.