OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [html/] [class_display_driverw_decoder___top.html] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 68... Line 68...
</div><!--header-->
</div><!--header-->
<div class="contents">
<div class="contents">
 
 
<p>Top entity of the display driver.
<p>Top entity of the display driver.
 <a href="class_display_driverw_decoder___top.html#details">More...</a></p>
 <a href="class_display_driverw_decoder___top.html#details">More...</a></p>
<div class="dynheader">
 
Inheritance diagram for DisplayDriverwDecoder_Top:</div>
 
<div class="dyncontent">
 
<div class="center"><img src="class_display_driverw_decoder___top__inherit__graph.png" border="0" usemap="#_display_driverw_decoder___top_inherit__map" alt="Inheritance graph"/></div>
 
<map name="_display_driverw_decoder___top_inherit__map" id="_display_driverw_decoder___top_inherit__map">
 
<area shape="rect" id="node2" href="class_display_driver_wrapper.html" title="DisplayDriverWrapper" alt="" coords="23,80,170,107"/>
 
</map>
 
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
 
<table class="memberdecls">
<table class="memberdecls">
<tr class="heading"><td colspan="2"><h2 class="groupheader"><a name="nested-classes"></a>
<tr class="heading"><td colspan="2"><h2 class="groupheader"><a name="nested-classes"></a>
Entities</h2></td></tr>
Entities</h2></td></tr>
<tr class="memitem:"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top_1_1arch.html">arch</a> &#160;</td><td class="memItemRight" valign="bottom">architecture</td></tr>
<tr class="memitem:"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top_1_1arch.html">arch</a> &#160;</td><td class="memItemRight" valign="bottom">architecture</td></tr>
<tr class="memdesc:"><td class="mdescLeft">&#160;</td><td class="mdescRight">Architecture definition of the <a class="el" href="class_display_driverw_decoder___top.html" title="Top entity of the display driver. ">DisplayDriverwDecoder_Top</a>.  <a href="class_display_driverw_decoder___top_1_1arch.html#details">More...</a><br /></td></tr>
<tr class="memdesc:"><td class="mdescLeft">&#160;</td><td class="mdescRight">Architecture definition of the <a class="el" href="class_display_driverw_decoder___top.html" title="Top entity of the display driver. ">DisplayDriverwDecoder_Top</a>.  <a href="class_display_driverw_decoder___top_1_1arch.html#details">More...</a><br /></td></tr>
Line 104... Line 96...
<tr class="memdesc:a4a4609c199d30b3adebbeb3a01276ec5"><td class="mdescLeft">&#160;</td><td class="mdescRight">input clock, xx MHz. <br /></td></tr>
<tr class="memdesc:a4a4609c199d30b3adebbeb3a01276ec5"><td class="mdescLeft">&#160;</td><td class="mdescRight">input clock, xx MHz. <br /></td></tr>
<tr class="memitem:aad8dc6359d9e23dabcbf342fadf2fa06"><td class="memItemLeft" align="right" valign="top"><a id="aad8dc6359d9e23dabcbf342fadf2fa06"></a>
<tr class="memitem:aad8dc6359d9e23dabcbf342fadf2fa06"><td class="memItemLeft" align="right" valign="top"><a id="aad8dc6359d9e23dabcbf342fadf2fa06"></a>
<a class="el" href="class_display_driverw_decoder___top.html#aad8dc6359d9e23dabcbf342fadf2fa06">reset</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
<a class="el" href="class_display_driverw_decoder___top.html#aad8dc6359d9e23dabcbf342fadf2fa06">reset</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memdesc:aad8dc6359d9e23dabcbf342fadf2fa06"><td class="mdescLeft">&#160;</td><td class="mdescRight">active high <br /></td></tr>
<tr class="memdesc:aad8dc6359d9e23dabcbf342fadf2fa06"><td class="mdescLeft">&#160;</td><td class="mdescRight">active high <br /></td></tr>
<tr class="memitem:a11737d50bbf001c0d64a327a2afca193"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top.html#a11737d50bbf001c0d64a327a2afca193">ascii_in</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">7</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:a11737d50bbf001c0d64a327a2afca193"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top.html#a11737d50bbf001c0d64a327a2afca193">ascii_in</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">7</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:aecb25505c62077dc5327da3cd351c8cf"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top.html#aecb25505c62077dc5327da3cd351c8cf">disp_data</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">13</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:a8f9235710fc037196ed1f7fa93aa0ef3"><td class="memItemLeft" align="right" valign="top"><a id="a8f9235710fc037196ed1f7fa93aa0ef3"></a>
 
<a class="el" href="class_display_driverw_decoder___top.html#a8f9235710fc037196ed1f7fa93aa0ef3">wr_en</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
 
<tr class="memdesc:a8f9235710fc037196ed1f7fa93aa0ef3"><td class="mdescLeft">&#160;</td><td class="mdescRight">active high write enable to store the ASCII code in a register <br /></td></tr>
 
<tr class="memitem:afe85e1682ca9b85576a5169bef98848c"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top.html#afe85e1682ca9b85576a5169bef98848c">disp_data</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">14</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:ac39d5faa22b7c7b88914e8915f901d69"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top.html#ac39d5faa22b7c7b88914e8915f901d69">disp_sel</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:ac39d5faa22b7c7b88914e8915f901d69"><td class="memItemLeft" align="right" valign="top"><a class="el" href="class_display_driverw_decoder___top.html#ac39d5faa22b7c7b88914e8915f901d69">disp_sel</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
</table>
</table>
<a name="details" id="details"></a><h2 class="groupheader">Detailed Description</h2>
<a name="details" id="details"></a><h2 class="groupheader">Detailed Description</h2>
<div class="textblock"><p>Top entity of the display driver. </p>
<div class="textblock"><p>Top entity of the display driver. </p>
</div><h2 class="groupheader">Member Data Documentation</h2>
</div><h2 class="groupheader">Member Data Documentation</h2>
Line 133... Line 128...
</div><div class="memdoc">
</div><div class="memdoc">
<p>ASCII symbols are coded in 1B having values from 0x00 to 0x7F. Current implementation uses 104-Character ASCII Font Map described in MAX6955 Datasheet. <a href="https://datasheets.maximintegrated.com/en/ds/MAX6955.pdf">https://datasheets.maximintegrated.com/en/ds/MAX6955.pdf</a> The MSB is used to light the display dot. For example if you want to display H the code will be 0x48 (or 01001000b). If you want to display H. the code will be 0xC8 (or 11001000b). </p>
<p>ASCII symbols are coded in 1B having values from 0x00 to 0x7F. Current implementation uses 104-Character ASCII Font Map described in MAX6955 Datasheet. <a href="https://datasheets.maximintegrated.com/en/ds/MAX6955.pdf">https://datasheets.maximintegrated.com/en/ds/MAX6955.pdf</a> The MSB is used to light the display dot. For example if you want to display H the code will be 0x48 (or 01001000b). If you want to display H. the code will be 0xC8 (or 11001000b). </p>
 
 
</div>
</div>
</div>
</div>
<a id="aecb25505c62077dc5327da3cd351c8cf"></a>
<a id="afe85e1682ca9b85576a5169bef98848c"></a>
<h2 class="memtitle"><span class="permalink"><a href="#aecb25505c62077dc5327da3cd351c8cf">&#9670;&nbsp;</a></span>disp_data</h2>
<h2 class="memtitle"><span class="permalink"><a href="#afe85e1682ca9b85576a5169bef98848c">&#9670;&nbsp;</a></span>disp_data</h2>
 
 
<div class="memitem">
<div class="memitem">
<div class="memproto">
<div class="memproto">
<table class="mlabels">
<table class="mlabels">
  <tr>
  <tr>
  <td class="mlabels-left">
  <td class="mlabels-left">
      <table class="memname">
      <table class="memname">
        <tr>
        <tr>
          <td class="memname"><a class="el" href="class_display_driverw_decoder___top.html#aecb25505c62077dc5327da3cd351c8cf">disp_data</a> <b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">13</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b> </td>
          <td class="memname"><a class="el" href="class_display_driverw_decoder___top.html#afe85e1682ca9b85576a5169bef98848c">disp_data</a> <b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">14</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b> </td>
        </tr>
        </tr>
      </table>
      </table>
  </td>
  </td>
  <td class="mlabels-right">
  <td class="mlabels-right">
<span class="mlabels"><span class="mlabel">Port</span></span>  </td>
<span class="mlabels"><span class="mlabel">Port</span></span>  </td>
  </tr>
  </tr>
</table>
</table>
</div><div class="memdoc">
</div><div class="memdoc">
<p>Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required together with data goes display select (according typical dynamic display indication). </p>
<p>Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required disp_sel signal must be provided (according typical dynamic display indication).</p>
 
<h1><a class="anchor" id="disp_data_bit_mapping"></a>
 
Display Segment Bit Mapping</h1>
 
<table class="doxtable">
 
<tr>
 
<th align="center">Bit Number </th><th align="center">0 </th><th align="center">1</th><th align="center">2</th><th align="center">3</th><th align="center">4</th><th align="center">5</th><th align="center">6</th><th align="center">7</th><th align="center">8</th><th align="center">9</th><th align="center">10</th><th align="center">11</th><th align="center">12</th><th align="center">13</th><th align="center">14  </th></tr>
 
<tr>
 
<td align="center">Display Segment</td><td align="center">a </td><td align="center">b</td><td align="center">c</td><td align="center">d</td><td align="center">e</td><td align="center">f</td><td align="center">g1</td><td align="center">g2</td><td align="center">h</td><td align="center">i</td><td align="center">j</td><td align="center">k</td><td align="center">l</td><td align="center">m</td><td align="center">dp </td></tr>
 
</table>
 
<p>Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture: <a href="https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif">https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif</a> </p>
 
 
</div>
</div>
</div>
</div>
<a id="ac39d5faa22b7c7b88914e8915f901d69"></a>
<a id="ac39d5faa22b7c7b88914e8915f901d69"></a>
<h2 class="memtitle"><span class="permalink"><a href="#ac39d5faa22b7c7b88914e8915f901d69">&#9670;&nbsp;</a></span>disp_sel</h2>
<h2 class="memtitle"><span class="permalink"><a href="#ac39d5faa22b7c7b88914e8915f901d69">&#9670;&nbsp;</a></span>disp_sel</h2>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.