OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [latex/] [index.tex] - Diff between revs 5 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 1... Line 1...
\hypertarget{index_intro_sec}{}\section{Introduction}\label{index_intro_sec}
\hypertarget{index_intro_sec}{}\section{Introduction}\label{index_intro_sec}
\begin{DoxyVerb}  The module contains:
\begin{DoxyVerb} The main purpose of this module is to be fed with ASCI symbol codes and it will output word lighting up the exact segments
     -# Input data register to hold the ASCII symbol codes
 on the 14-segment display to visualize the ASCII character. Current implementation uses input register to store the input code.
     -# Decoder to translate the code to 14-segment display word
 
         -# here is also the driver
 ASCII symbols are coded in Byte having values from 0x00 to 0x7F. This range covers all the symbols in the decoding table.
\end{DoxyVerb}
 The range is doubled because the symbols may be lit with DP on or off. More information may be found in MAX6955 datasheet.
\hypertarget{index_install_sec}{}\section{Installation}\label{index_install_sec}
 Follows small revised quote of the most descriptive part related to decoding. Not applicable words are removed.
\hypertarget{index_step1}{}\subsection{Step 1\+: Opening the box}\label{index_step1}
 
\begin{DoxyVerb}  etc... \end{DoxyVerb}
 
 
 
 No newline at end of file
 No newline at end of file
 
 ... includes 104-character ASCII font maps for 14-segment... . The characters follow the standard ASCII font, with the
 
 addition of the following common symbols: GBP, EUR, Yen, degree, micro, plus/minus, arrow up, and arrow down.
 
 Seven bits represent the 104-character font map; an 8th bit is used to select whether the decimal point (DP) is lit.
 
 source: https://datasheets.maximintegrated.com/en/ds/MAX6955.pdf
 
\end{DoxyVerb}
 
\hypertarget{index_port_disp_data}{}\section{Display Data Out}\label{index_port_disp_data}
 
\begin{DoxyVerb} Typically the data fed to display (single or multiple) is provided for single display at a time.
 
 If multiple displays are required disp_sel signal must be provided (according typical dynamic display indication).
 
\end{DoxyVerb}
 
\hypertarget{index_disp_data_bit_mapping}{}\subsection{Display Segment Bit Mapping}\label{index_disp_data_bit_mapping}
 
\tabulinesep=1mm
 
\begin{longtabu} spread 0pt [c]{*{16}{|X[-1]}|}
 
\hline
 
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
 
\endfirsthead
 
\hline
 
\endfoot
 
\hline
 
\rowcolor{\tableheadbgcolor}\PBS\centering \textbf{ Bit Number }&\PBS\centering \textbf{ 14}&\PBS\centering \textbf{ 13}&\PBS\centering \textbf{ 12}&\PBS\centering \textbf{ 11}&\PBS\centering \textbf{ 10}&\PBS\centering \textbf{ 9}&\PBS\centering \textbf{ 8}&\PBS\centering \textbf{ 7}&\PBS\centering \textbf{ 6}&\PBS\centering \textbf{ 5}&\PBS\centering \textbf{ 4}&\PBS\centering \textbf{ 3}&\PBS\centering \textbf{ 2}&\PBS\centering \textbf{ 1}&\PBS\centering \textbf{ 0  }\\\cline{1-16}
 
\endhead
 
\PBS\centering Display Segment&\PBS\centering dp&\PBS\centering m&\PBS\centering l&\PBS\centering k&\PBS\centering j&\PBS\centering i&\PBS\centering h&\PBS\centering g2&\PBS\centering g1&\PBS\centering f&\PBS\centering e&\PBS\centering d&\PBS\centering c&\PBS\centering b&\PBS\centering a \\\cline{1-16}
 
\end{longtabu}
 
Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture\+:
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.