OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Lattice_FPGA_Build/] [.spreadsheet_view.ini] - Diff between revs 5 and 6

Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 6... Line 6...
active_Sheet=Port Assignments
active_Sheet=Port Assignments
 
 
[Port%20Assignments]
[Port%20Assignments]
Name="223,0"
Name="223,0"
Group%20By="94,1"
Group%20By="94,1"
Pin="97,2"
Pin="60,2"
BANK="72,3"
BANK="72,3"
BANK_VCC="100,4"
BANK_VCC="100,4"
VREF="70,5"
VREF="70,5"
IO_TYPE="223,6"
IO_TYPE="112,6"
PULLMODE="137,7"
PULLMODE="102,7"
DRIVE="77,8"
DRIVE="77,8"
SLEWRATE="139,9"
SLEWRATE="102,9"
CLAMP="83,10"
CLAMP="81,10"
OPENDRAIN="107,11"
OPENDRAIN="107,11"
DIFFRESISTOR="124,12"
DIFFRESISTOR="124,12"
DIFFDRIVE="102,13"
DIFFDRIVE="102,13"
HYSTERESIS="111,14"
HYSTERESIS="111,14"
TERMINATION="121,15"
TERMINATION="121,15"
Line 36... Line 36...
Pad%20Name="111,1"
Pad%20Name="111,1"
Dual%20Function="286,2"
Dual%20Function="286,2"
Polarity="87,3"
Polarity="87,3"
BANK="0,4"
BANK="0,4"
BANK_VCC="100,5"
BANK_VCC="100,5"
IO_TYPE="223,6"
IO_TYPE="112,6"
Signal%20Name="241,7"
Signal%20Name="121,7"
Signal%20Type="157,8"
Signal%20Type="108,8"
sort_columns="Pin,Ascending"
sort_columns="Pin,Ascending"
 
 
[Clock%20Resource]
[Clock%20Resource]
Clock%20Type="100,ELLIPSIS"
Clock%20Type="116,ELLIPSIS"
Clock%20Name="100,ELLIPSIS"
Clock%20Name="100,ELLIPSIS"
Selection="100,ELLIPSIS"
Selection="100,ELLIPSIS"
Quadrant="100,ELLIPSIS"
Quadrant="100,ELLIPSIS"
 
 
[Global%20Preferences]
[Global%20Preferences]
Preference%20Name="303,ELLIPSIS"
Preference%20Name="303,ELLIPSIS"
Preference%20Value="332,ELLIPSIS"
Preference%20Value="157,ELLIPSIS"
 
 
[Cell%20Mapping]
[Cell%20Mapping]
Type="100,ELLIPSIS"
Type="100,ELLIPSIS"
Name="100,ELLIPSIS"
Name="100,ELLIPSIS"
Din\Dout="100,ELLIPSIS"
Din\Dout="100,ELLIPSIS"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.