OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Lattice_FPGA_Build/] [impl1/] [message.xml] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 1... Line 1...
 
 
 
 
    
    
        
        
            71001181
            1101672
            Warning
            Warning
            0
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/DisplayDriverwDecoder.lpf(21): Semantic error in "USERCODE ASCII "G.L." ; ": 
 
            Invalid Ascii char <.>.Invalid Ascii char <.>.
 
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/DisplayDriverwDecoder.lpf
 
            21
        
        
    
 
    
 
        
        
            51001046
            1104062
            Warning
            Warning
            button
            
 
            1 semantic error
 
        
 
        
 
            51001030
 
            Warning
 
            n_rst_c
 
        
 
        
 
            51001230
 
            Warning
 
            C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/DisplayDriverwDecoder.lpf
        
        
    
    
    
    
        
        
            35002000
            35002000
Line 115... Line 127...
            6
            6
        
        
    
    
    
    
        
        
            60001135
            2030012
            Info
            Warning
        
        
    
    
    
    
        
        
            1166052
            1166052
            Warning
            Warning
            logical
            logical
            button
            symbol_scan_cntr_cry_0_S0[0]
            button
            symbol_scan_cntr_cry_0_S0[0]
 
        
 
        
 
            1166052
 
            Warning
 
            logical
 
            symbol_scan_cntr_s_0_S1[7]
 
            symbol_scan_cntr_s_0_S1[7]
 
        
 
        
 
            1166052
 
            Warning
 
            logical
 
            symbol_scan_cntr_s_0_COUT[7]
 
            symbol_scan_cntr_s_0_COUT[7]
        
        
        
        
            1163101
            1163101
            Warning
            Warning
            1
            3
        
        
    
    
    
    
        
        
            2011000
            2011000
Line 153... Line 179...
            Signal empty is undriven. Either assign the signal a value or remove the signal declaration.
            Signal empty is undriven. Either assign the signal a value or remove the signal declaration.
        
        
        
        
            2019991
            2019991
            Warning
            Warning
            MT529 :"c:\projects\single-14-segment-display-driver-w-decoder\project\sources\displaydriverwdecoder_top.vhd":76:8:76:9|Found inferred clock DisplayDriverWrapper|clk which controls 8 sequential elements including DDwD_Top.ascii_reg[7:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance.
            CD638 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverwDecoder_Top.vhd":53:11:53:19|Signal ascii_reg is undriven. Either assign the signal a value or remove the signal declaration.
 
            CD638
 
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverwDecoder_Top.vhd
 
            53
 
            11
 
            53
 
            19
 
            Signal ascii_reg is undriven. Either assign the signal a value or remove the signal declaration.
 
        
 
        
 
            2019991
 
            Warning
 
            CL169 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd":54:4:54:5|Pruning unused register bttn_state_5. Make sure that there are no unused intermediate registers.
 
            CL169
 
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd
 
            54
 
            4
 
            54
 
            5
 
            Pruning unused register bttn_state_5. Make sure that there are no unused intermediate registers.
 
        
 
        
 
            2019991
 
            Warning
 
            CL169 :"C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd":54:4:54:5|Pruning unused register bttn_state_fifo_5(3 downto 0). Make sure that there are no unused intermediate registers.
 
            CL169
 
            C:\Projects\single-14-segment-display-driver-w-decoder\Project\Sources\DisplayDriverWrapper.vhd
 
            54
 
            4
 
            54
 
            5
 
            Pruning unused register bttn_state_fifo_5(3 downto 0). Make sure that there are no unused intermediate registers.
 
        
 
        
 
            2019991
 
            Warning
 
            MT529 :"c:\projects\single-14-segment-display-driver-w-decoder\project\sources\displaydriverwrapper.vhd":74:4:74:5|Found inferred clock DisplayDriverWrapper|button which controls 8 sequential elements including symbol_scan_cntr[7:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance.
            MT529
            MT529
            c:\projects\single-14-segment-display-driver-w-decoder\project\sources\displaydriverwdecoder_top.vhd
            c:\projects\single-14-segment-display-driver-w-decoder\project\sources\displaydriverwrapper.vhd
            76
            74
            8
            4
            76
            74
            9
            5
            Found inferred clock DisplayDriverWrapper|clk which controls 8 sequential elements including DDwD_Top.ascii_reg[7:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. 
            Found inferred clock DisplayDriverWrapper|button which controls 8 sequential elements including symbol_scan_cntr[7:0]. This clock has no specified timing constraint which may prevent conversion of gated or generated clocks and may adversely impact design performance. 
        
        
        
        
            2019993
            2019993
            Warning
            Warning
            MT420 |Found inferred clock DisplayDriverWrapper|clk with period 0.82ns. Please declare a user-defined clock on object "p:clk"
            MT420 |Found inferred clock DisplayDriverWrapper|button with period 2.25ns. Please declare a user-defined clock on object "p:button"
            MT420
            MT420
            Found inferred clock DisplayDriverWrapper|clk with period 0.82ns. Please declare a user-defined clock on object "p:clk"
            Found inferred clock DisplayDriverWrapper|button with period 2.25ns. Please declare a user-defined clock on object "p:button"
        
        
    
    
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.