OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Sources/] [ascii_decoder.vhd] - Diff between revs 8 and 9

Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 1... Line 1...
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Entity: ASCIIDecoder
-- Entity: ascii_decoder
-- Date:2017-01-07  
-- Date:2017-01-07  
-- Author: GL     
-- Author: GL     
--
--
-- Description: 
-- Description: 
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
Line 10... Line 10...
--! @brief ASCII decoding table with I/O control logic
--! @brief ASCII decoding table with I/O control logic
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_std.all;
 
 
entity ASCIIDecoder is
entity ascii_decoder is
        port  (
        port  (
        clk     : in    std_logic;                                      -- input clock, xx MHz.
        clk     : in    std_logic;                                      --! input clock, xx MHz.
        reset   : in    std_logic;
        reset   : in    std_logic;
 
 
        --! ascii_in(7) represents the DP state so it is not decoded. 
        --! ascii_in(7) represents the DP state so it is not decoded. 
        --! Symbol codes from 0x00 to 0x7F are without DP lit. Symbol codes from 0x80 to 0xFF have DP lit.
        --! Symbol codes from 0x00 to 0x7F are without DP lit. Symbol codes from 0x80 to 0xFF have DP lit.
        ascii_in: in    std_logic_vector(7 downto 0);
        ascii_in: in    std_logic_vector(7 downto 0);                   --! input ascii code to be displayed
 
 
        disp_data_q : out std_logic_vector(14 downto 0)
        disp_data_q : out std_logic_vector(14 downto 0)                 --! decoded ascii code output with symbol bit map
        );
        );
end ASCIIDecoder;
end ascii_decoder;
 
 
architecture arch of ASCIIDecoder is
architecture arch of ascii_decoder is
 
 
    --! Q represents the symbol's bit mapping overlay over the 14-segment display.
    --! Q represents the symbol's bit mapping overlay over the 14-segment display.
    signal Q : std_logic_vector(13 downto 0);
    signal Q : std_logic_vector(13 downto 0);
begin
begin
 
 
--! @details Decoding table
--! @brief Decoding table handling all symbols except the DP
rom_decoding_table: entity work.DistRomAsciiDecoder
rom_decoding_table: entity work.decoder_table_dist_rom
port map(
port map(
    Address => ascii_in(6 downto 0),
    Address => ascii_in(6 downto 0),
    Q       => Q
    Q       => Q
);
);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.