OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [Mos6502/] [ip/] [core/] [rtl/] [verilog/] [alu] - Diff between revs 131 and 134

Show entire file | Details | Blame | View Log

Rev 131 Rev 134
Line 40... Line 40...
wire          v_result;
wire          v_result;
reg           z_result;
reg           z_result;
reg           c_result;
reg           c_result;
 
 
wire          r_result;
wire          r_result;
 
wire          r_result_nc;
wire [7:0]      result;
wire [7:0]      result;
 
 
wire [7:0]     and_out;
wire [7:0]     and_out;
wire [7:0]     orr_out;
wire [7:0]     orr_out;
wire [7:0]     eor_out;
wire [7:0]     eor_out;
Line 82... Line 83...
    .alu_op_b_inv  ( alu_op_b_inv   ),
    .alu_op_b_inv  ( alu_op_b_inv   ),
    .alu_op_a      ( alu_op_a       ),
    .alu_op_a      ( alu_op_a       ),
    .alu_op_b      ( alu_op_b       ),
    .alu_op_b      ( alu_op_b       ),
    .alu_op_c      ( alu_op_c       ),
    .alu_op_c      ( alu_op_c       ),
    .result        (   result       ),
    .result        (   result       ),
    .r_result      (                ),
    .r_result      ( r_result_nc    ),
    .c_result      ( r_result       ),
    .c_result      ( r_result       ),
    .v_result      ( v_result       ),
    .v_result      ( v_result       ),
    .and_out       ( and_out        ),
    .and_out       ( and_out        ),
    .orr_out       ( orr_out        ),
    .orr_out       ( orr_out        ),
    .eor_out       ( eor_out        ),
    .eor_out       ( eor_out        ),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.