OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [micro_bus/] [componentCfg.xml] - Diff between revs 131 and 133

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 131 Rev 133
Line 23... Line 23...
 
 
/doc
/doc
 
 
 
 
 
 
 
    
 
 
 
         
 
            default
 
            
 
            ADD0
 
            CH0_BITS4
 
            CH0_MATCH4'h0
 
            CH1_BITS4
 
            CH1_MATCH4'h0
 
            CH2_BITS4
 
            CH2_MATCH4'h0
 
            CH3_BITS4
 
            CH3_MATCH4'h0
 
            CH4_BITS4
 
            CH4_MATCH4'h0
 
            CH5_BITS4
 
            CH5_MATCH4'h0
 
           
 
          
 
 
 
 
 
 
 
 
 
         
 
            exp_default
 
            
 
            SLA_ADD_WIDTH8
 
            SLA_DATA_WIDTH16
 
            MAS_ADD_WIDTH4
 
            MAS_DATA_WIDTH8
 
 
 
           
 
          
 
 
 
      
 
 
 
 
 
 
 
 
micro_bus/sim
micro_bus/sim
 
 
Line 43... Line 76...
 
 
 
 
 
 
micro_bus_def_tb
micro_bus_def_tb
def_tb
def_tb
 
default
 
 
    PERIOD40
    PERIOD40
    TIMEOUT100000
    TIMEOUT100000
 
 
 
 
Line 62... Line 96...
 
 
 
 
micro_bus
micro_bus
micro_bus_def_lint
micro_bus_def_lint
def_lint
def_lint
 
default
 
 
  rtl_check
  rtl_check
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.