OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [vga_char_ctrl/] [rtl/] [verilog/] [char_display] - Diff between revs 131 and 134

Show entire file | Details | Blame | View Log

Rev 131 Rev 134
Line 4... Line 4...
)
)
 
 
(
(
input wire          clk,
input wire          clk,
input wire          reset,
input wire          reset,
input wire [6:0]    char_column,    // character number on the current line
 
input wire [6:0]    char_line,      // line number on the screen
 
input wire [2:0]    subchar_line,   // the line number within a character block 0-8
input wire [2:0]    subchar_line,   // the line number within a character block 0-8
input wire [2:0]    subchar_pixel,  // the pixel number within a character block 0-8
input wire [2:0]    subchar_pixel,  // the pixel number within a character block 0-8
 
 
input wire [7:0]    ascii_code,
input wire [7:0]    ascii_code,
 
 
output wire         pixel_on,
output wire         pixel_on,
 
 
output reg [13:0]   char_read_addr,
input wire [13:0]   char_read_addr,
 
 
input wire [13:0]   char_write_addr,
input wire [13:0]   char_write_addr,
input wire [7:0]    char_write_data,
input wire [7:0]    char_write_data,
 
input wire [7:0]    char_gen_rom_data,
input wire          char_write_enable
input wire          char_write_enable
 
 
 );
 );
 
 
 
 
 
 
 
 
 
 
 
 
always @ (*)
 
     begin
 
     char_read_addr    = (char_line[6:0] * H_ACTIVE / 8 ) + {7'b0000000,char_column[6:0]};
 
     end
 
 
 
 
 
 
 
 
 
// the character generator block includes the character RAM
// the character generator block includes the character RAM
// and the character generator ROM
// and the character generator ROM
`VARIANT`CHAR_GEN
`VARIANT`CHAR_GEN
 
 
 CHAR_GEN
 CHAR_GEN
Line 48... Line 36...
 .char_write_data    ( char_write_data   ),  // write data
 .char_write_data    ( char_write_data   ),  // write data
 .char_write_enable  ( char_write_enable ),  // write enable
 .char_write_enable  ( char_write_enable ),  // write enable
 .char_read_addr     ( char_read_addr    ),  // read address of current character
 .char_read_addr     ( char_read_addr    ),  // read address of current character
 .subchar_line       ( subchar_line      ),  // current line of pixels within current character
 .subchar_line       ( subchar_line      ),  // current line of pixels within current character
 .subchar_pixel      ( subchar_pixel     ),  // current column of pixels withing current character
 .subchar_pixel      ( subchar_pixel     ),  // current column of pixels withing current character
 
 .char_gen_rom_data  ( char_gen_rom_data ),
 .pixel_on           ( pixel_on          ),
 .pixel_on           ( pixel_on          ),
 .ascii_code         ( ascii_code        )
 .ascii_code         ( ascii_code        )
);
);
 
 
endmodule //CHAR_DISPLAY
endmodule //CHAR_DISPLAY

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.