OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [Testbench/] [bfms/] [uart_model/] [rtl/] [verilog/] [top.rtl] - Diff between revs 131 and 133

Show entire file | Details | Blame | View Log

Rev 131 Rev 133
Line 8... Line 8...
reg [7:0]        rx_shift_buffer;
reg [7:0]        rx_shift_buffer;
reg              rx_parity_calc;
reg              rx_parity_calc;
reg              rx_parity_samp;
reg              rx_parity_samp;
reg              rx_parity_error;
reg              rx_parity_error;
reg              rx_frame_err;
reg              rx_frame_err;
reg [7:0]        exp_rx_shift_buffer;
 
reg              exp_rx_parity_err;
 
reg              exp_rx_frame_err;
reg              exp_rx_frame_err;
reg [7:0]        mask_rx_shift_buffer;
 
reg              mask_rx_parity_err;
 
reg              mask_rx_frame_err;
reg              mask_rx_frame_err;
 
 
 
 
reg              rx_frame_rdy;
reg              rx_frame_rdy;
 
 
Line 75... Line 71...
  end
  end
 
 
 
 
 
 
 
 
wire  [7:0]  prb_rx_shift_buffer;
 
wire    prb_rx_frame_err;
wire    prb_rx_frame_err;
wire    prb_rx_parity_err;
 
 
 
assign  prb_rx_shift_buffer =  rx_shift_buffer;
assign  prb_rx_shift_buffer =  rx_shift_buffer;
assign  prb_rx_frame_err    =  rx_frame_err;
assign  prb_rx_frame_err    =  rx_frame_err;
assign  prb_rx_parity_err   =  rx_parity_error;
assign  prb_rx_parity_err   =  rx_parity_error;
 
assign  drv_rx_shift_buffer =  8'bzzzzzzzz;
 
assign  drv_rx_parity_err   =  1'bz;
 
 
 
/*
io_probe_def
io_probe_def
#(.MESG   ("uart data receive error"),
#(.MESG   ("uart data receive error"),
  .WIDTH  (8)
  .WIDTH  (8)
  )
  )
rx_shift_buffer_prb
rx_shift_buffer_prb
(
(
  .clk           ( clk ),
  .clk           ( clk ),
  .drive_value   (8'bzzzzzzzz),
  .drive_value   ( drv_rx_shift_buffer ),
  .expected_value( exp_rx_shift_buffer),
  .expected_value( exp_rx_shift_buffer),
  .mask          ( mask_rx_shift_buffer),
  .mask          ( mask_rx_shift_buffer),
  .signal        ( prb_rx_shift_buffer)
  .signal        ( prb_rx_shift_buffer)
);
);
 
 
Line 103... Line 100...
io_probe_def
io_probe_def
#(.MESG   ("uart parity error"))
#(.MESG   ("uart parity error"))
rx_parity_err_prb
rx_parity_err_prb
(
(
  .clk           ( clk ),
  .clk           ( clk ),
  .drive_value   (1'bz),
  .drive_value   ( drv_rx_parity_err ),
  .expected_value( exp_rx_parity_err),
  .expected_value( exp_rx_parity_err),
  .mask          ( mask_rx_parity_err),
  .mask          ( mask_rx_parity_err),
  .signal        ( prb_rx_parity_err)
  .signal        ( prb_rx_parity_err)
);
);
 
 
 
*/
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.