OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [sram/] [rtl/] [verilog/] [lint/] [sram_byte.v] - Diff between revs 133 and 134

Show entire file | Details | Blame | View Log

Rev 133 Rev 134
Line 1... Line 1...
 module
 module
  cde_sram_byte
  cde_sram_byte
    #( parameter
    #( parameter
      ADDR=10,
      ADDR=10,
      WORDS=1024,
      WORDS=1024,
      WRITETHRU=0,
      WRITETHRU=0
      DEFAULT={WIDTH{1'b1}}
 
      )
      )
     (
     (
 input wire               be,
 
 input wire               clk,
 input wire               clk,
 input wire               cs,
 input wire               cs,
 input wire               be,
 input wire               be,
 input wire               rd,
 input wire               rd,
 input wire               wr,
 input wire               wr,
Line 17... Line 15...
 input wire [ 7 : 0]       wdata,
 input wire [ 7 : 0]       wdata,
 output reg [ 7 : 0]       rdata);
 output reg [ 7 : 0]       rdata);
  // Simple loop back for linting and code coverage
  // Simple loop back for linting and code coverage
  always@(posedge clk)
  always@(posedge clk)
        if( rd && cs ) rdata             <= wdata;
        if( rd && cs ) rdata             <= wdata;
        else           rdata             <= DEFAULT;
        else           rdata             <= 8'hff;
  endmodule
  endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.