Line 43... |
Line 43... |
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
--
|
--
|
-- CVS Revision History
|
-- CVS Revision History
|
--
|
--
|
-- $Log: not supported by cvs2svn $
|
-- $Log: not supported by cvs2svn $
|
|
-- Revision 1.2 2004/06/26 14:14:47 gedra
|
|
-- Converted to numeric_std and fixed a few bugs.
|
|
--
|
-- Revision 1.1 2004/06/05 17:16:46 gedra
|
-- Revision 1.1 2004/06/05 17:16:46 gedra
|
-- Channel status/user data capture register
|
-- Channel status/user data capture register
|
--
|
--
|
--
|
--
|
|
|
Line 61... |
Line 64... |
rst: in std_logic; -- reset
|
rst: in std_logic; -- reset
|
cap_ctrl_wr: in std_logic; -- control register write
|
cap_ctrl_wr: in std_logic; -- control register write
|
cap_ctrl_rd: in std_logic; -- control register read
|
cap_ctrl_rd: in std_logic; -- control register read
|
cap_data_rd: in std_logic; -- data register read
|
cap_data_rd: in std_logic; -- data register read
|
cap_din: in std_logic_vector(31 downto 0); -- write data
|
cap_din: in std_logic_vector(31 downto 0); -- write data
|
frame_rst: in std_logic; -- start of frame signal
|
rx_block_start: in std_logic; -- start of block signal
|
ch_data: in std_logic; -- channel status/user data
|
ch_data: in std_logic; -- channel status/user data
|
ud_a_en: in std_logic; -- user data ch. A enable
|
ud_a_en: in std_logic; -- user data ch. A enable
|
ud_b_en: in std_logic; -- user data ch. B enable
|
ud_b_en: in std_logic; -- user data ch. B enable
|
cs_a_en: in std_logic; -- channel status ch. A enable
|
cs_a_en: in std_logic; -- channel status ch. A enable
|
cs_b_en: in std_logic; -- channel status ch. B enable
|
cs_b_en: in std_logic; -- channel status ch. B enable
|
Line 117... |
Line 120... |
cap_evt <= '0';
|
cap_evt <= '0';
|
else
|
else
|
if rising_edge(clk) then
|
if rising_edge(clk) then
|
if bitlen > 0 then -- bitlen = 0 disables the capture function
|
if bitlen > 0 then -- bitlen = 0 disables the capture function
|
-- bit counter, 0 to 191
|
-- bit counter, 0 to 191
|
if frame_rst = '1' then
|
if rx_block_start = '1' then
|
cur_pos <= 0;
|
cur_pos <= 0;
|
cap_len <= 0;
|
cap_len <= 0;
|
cap_new <= (others => '0');
|
cap_new <= (others => '0');
|
compared <= '0';
|
compared <= '0';
|
elsif cs_b_en = '1' then -- ch. status #2 comes last, count then
|
elsif cs_b_en = '1' then -- ch. status #2 comes last, count then
|