URL
https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 16 |
Rev 17 |
Line 43... |
Line 43... |
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
--
|
--
|
-- CVS Revision History
|
-- CVS Revision History
|
--
|
--
|
-- $Log: not supported by cvs2svn $
|
-- $Log: not supported by cvs2svn $
|
|
-- Revision 1.2 2004/06/09 19:24:50 gedra
|
|
-- Added dual port ram.
|
|
--
|
-- Revision 1.1 2004/06/07 18:06:00 gedra
|
-- Revision 1.1 2004/06/07 18:06:00 gedra
|
-- Receiver component declarations.
|
-- Receiver component declarations.
|
--
|
--
|
--
|
--
|
|
|
Line 142... |
Line 145... |
cs_b_en: out std_logic); -- channel status ch. B enable);
|
cs_b_en: out std_logic); -- channel status ch. B enable);
|
end component;
|
end component;
|
|
|
component dpram
|
component dpram
|
generic (DATA_WIDTH: positive;
|
generic (DATA_WIDTH: positive;
|
ADDR_WIDTH: positive);
|
RAM_WIDTH: positive);
|
port (
|
port (
|
clk: in std_logic;
|
clk: in std_logic;
|
rst: in std_logic; -- reset is optional, not used here
|
rst: in std_logic; -- reset is optional, not used here
|
din: in std_logic_vector(DATA_WIDTH - 1 downto 0);
|
din: in std_logic_vector(DATA_WIDTH - 1 downto 0);
|
wr_en: in std_logic;
|
wr_en: in std_logic;
|
rd_en: in std_logic;
|
rd_en: in std_logic;
|
wr_addr: in std_logic_vector(ADDR_WIDTH - 1 downto 0);
|
wr_addr: in std_logic_vector(RAM_WIDTH - 1 downto 0);
|
rd_addr: in std_logic_vector(ADDR_WIDTH - 1 downto 0);
|
rd_addr: in std_logic_vector(RAM_WIDTH - 1 downto 0);
|
dout: out std_logic_vector(DATA_WIDTH - 1 downto 0));
|
dout: out std_logic_vector(DATA_WIDTH - 1 downto 0));
|
end component;
|
end component;
|
|
|
end rx_package;
|
end rx_package;
|
|
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.