OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] [spdif_interface/] [trunk/] [rtl/] [vhdl/] [rx_package.vhd] - Diff between revs 19 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 25
Line 43... Line 43...
----------------------------------------------------------------------
----------------------------------------------------------------------
--
--
-- CVS Revision History
-- CVS Revision History
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2004/06/13 18:08:09  gedra
 
-- Added frame decoder and sample extractor
 
--
-- Revision 1.3  2004/06/10 18:57:36  gedra
-- Revision 1.3  2004/06/10 18:57:36  gedra
-- Cleaned up lint warnings.
-- Cleaned up lint warnings.
--
--
-- Revision 1.2  2004/06/09 19:24:50  gedra
-- Revision 1.2  2004/06/09 19:24:50  gedra
-- Added dual port ram.
-- Added dual port ram.
Line 89... Line 92...
  end component;
  end component;
 
 
  component rx_status_reg
  component rx_status_reg
    generic (DATA_WIDTH: integer);
    generic (DATA_WIDTH: integer);
    port (
    port (
 
      wb_clk_i: in std_logic;             -- clock
      status_rd: in std_logic;            -- status register read
      status_rd: in std_logic;            -- status register read
      status_vector: in std_logic_vector(DATA_WIDTH - 1 downto 0);
      lock: in std_logic;                 -- signal lock status
 
      chas: in std_logic;                 -- channel A or B select
 
      rx_frame_start: in std_logic;       -- start of frame signal
 
      ch_data: in std_logic;              -- channel status/user data
 
      cs_a_en: in std_logic;              -- channel status ch. A enable
 
      cs_b_en: in std_logic;              -- channel status ch. B enable
      status_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0));
      status_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0));
  end component;
  end component;
 
 
  component gen_event_reg
  component gen_event_reg
    generic (DATA_WIDTH: integer);
    generic (DATA_WIDTH: integer);

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.