Line 43... |
Line 43... |
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
--
|
--
|
-- CVS Revision History
|
-- CVS Revision History
|
--
|
--
|
-- $Log: not supported by cvs2svn $
|
-- $Log: not supported by cvs2svn $
|
|
-- Revision 1.7 2004/06/26 14:14:47 gedra
|
|
-- Converted to numeric_std and fixed a few bugs.
|
|
--
|
-- Revision 1.6 2004/06/23 18:10:17 gedra
|
-- Revision 1.6 2004/06/23 18:10:17 gedra
|
-- Added Wishbone bus cycle decoder.
|
-- Added Wishbone bus cycle decoder.
|
--
|
--
|
-- Revision 1.5 2004/06/16 19:03:45 gedra
|
-- Revision 1.5 2004/06/16 19:03:45 gedra
|
-- Changed status reg. declaration
|
-- Changed status reg. declaration
|
Line 102... |
Line 105... |
port (
|
port (
|
wb_clk_i: in std_logic; -- clock
|
wb_clk_i: in std_logic; -- clock
|
status_rd: in std_logic; -- status register read
|
status_rd: in std_logic; -- status register read
|
lock: in std_logic; -- signal lock status
|
lock: in std_logic; -- signal lock status
|
chas: in std_logic; -- channel A or B select
|
chas: in std_logic; -- channel A or B select
|
rx_frame_start: in std_logic; -- start of frame signal
|
rx_block_start: in std_logic; -- start of block signal
|
ch_data: in std_logic; -- channel status/user data
|
ch_data: in std_logic; -- channel status/user data
|
cs_a_en: in std_logic; -- channel status ch. A enable
|
cs_a_en: in std_logic; -- channel status ch. A enable
|
cs_b_en: in std_logic; -- channel status ch. B enable
|
cs_b_en: in std_logic; -- channel status ch. B enable
|
status_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0));
|
status_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0));
|
end component;
|
end component;
|
Line 132... |
Line 135... |
rst: in std_logic; -- reset
|
rst: in std_logic; -- reset
|
cap_ctrl_wr: in std_logic; -- control register write
|
cap_ctrl_wr: in std_logic; -- control register write
|
cap_ctrl_rd: in std_logic; -- control register read
|
cap_ctrl_rd: in std_logic; -- control register read
|
cap_data_rd: in std_logic; -- data register read
|
cap_data_rd: in std_logic; -- data register read
|
cap_din: in std_logic_vector(31 downto 0); -- write data
|
cap_din: in std_logic_vector(31 downto 0); -- write data
|
frame_rst: in std_logic; -- start of frame signal
|
rx_block_start: in std_logic; -- start of block signal
|
ch_data: in std_logic; -- channel status/user data
|
ch_data: in std_logic; -- channel status/user data
|
ud_a_en: in std_logic; -- user data ch. A enable
|
ud_a_en: in std_logic; -- user data ch. A enable
|
ud_b_en: in std_logic; -- user data ch. B enable
|
ud_b_en: in std_logic; -- user data ch. B enable
|
cs_a_en: in std_logic; -- channel status ch. A enable
|
cs_a_en: in std_logic; -- channel status ch. A enable
|
cs_b_en: in std_logic; -- channel status ch. B enable
|
cs_b_en: in std_logic; -- channel status ch. B enable
|