OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] [spdif_interface/] [trunk/] [rtl/] [vhdl/] [rx_spdif.vhd] - Diff between revs 58 and 62

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 58 Rev 62
Line 43... Line 43...
----------------------------------------------------------------------
----------------------------------------------------------------------
--
--
-- CVS Revision History
-- CVS Revision History
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2004/07/19 16:58:37  gedra
 
-- Fixed bug.
 
--
-- Revision 1.4  2004/07/12 17:06:41  gedra
-- Revision 1.4  2004/07/12 17:06:41  gedra
-- Fixed bug with lock event generation.
-- Fixed bug with lock event generation.
--
--
-- Revision 1.3  2004/07/11 16:19:50  gedra
-- Revision 1.3  2004/07/11 16:19:50  gedra
-- Bug-fix.
-- Bug-fix.
Line 100... Line 103...
  signal status_rd : std_logic;
  signal status_rd : std_logic;
  signal stat_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal stat_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal imask_bits, imask_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal imask_bits, imask_dout: std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal imask_rd, imask_wr : std_logic;
  signal imask_rd, imask_wr : std_logic;
  signal istat_dout, istat_events: std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal istat_dout, istat_events: std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal istat_rd, istat_wr : std_logic;
  signal istat_rd, istat_wr, istat_lock : std_logic;
  signal istat_lock, istat_lsbf, istat_hsbf, istat_paritya, istat_parityb: std_logic;
  signal istat_lsbf, istat_hsbf, istat_paritya, istat_parityb: std_logic;
  signal istat_cap : std_logic_vector(7 downto 0);
  signal istat_cap : std_logic_vector(7 downto 0);
  signal ch_st_cap_rd, ch_st_cap_wr, ch_st_data_rd: std_logic_vector(7 downto 0);
  signal ch_st_cap_rd, ch_st_cap_wr, ch_st_data_rd: std_logic_vector(7 downto 0);
  signal cap_dout : bus_array;
  signal cap_dout : bus_array;
  signal ch_data, ud_a_en, ud_b_en, cs_a_en, cs_b_en: std_logic;
  signal ch_data, ud_a_en, ud_b_en, cs_a_en, cs_b_en: std_logic;
  signal mem_rd, sample_wr : std_logic;
  signal mem_rd, sample_wr : std_logic;
  signal sample_din, sample_dout : std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal sample_din, sample_dout : std_logic_vector(DATA_WIDTH - 1 downto 0);
  signal sbuf_wr_adr : std_logic_vector(ADDR_WIDTH - 2 downto 0);
  signal sbuf_wr_adr, sbuf_rd_adr : std_logic_vector(ADDR_WIDTH - 2 downto 0);
  signal lock, rx_frame_start: std_logic;
  signal lock, rx_frame_start: std_logic;
  signal rx_data, rx_data_en, rx_block_start: std_logic;
  signal rx_data, rx_data_en, rx_block_start: std_logic;
  signal rx_channel_a, rx_error, lock_evt: std_logic;
  signal rx_channel_a, rx_error, lock_evt: std_logic;
 
 
begin
begin
Line 154... Line 157...
      intmask_rd => imask_rd,
      intmask_rd => imask_rd,
      intmask_wr => imask_wr,
      intmask_wr => imask_wr,
      intstat_rd => istat_rd,
      intstat_rd => istat_rd,
      intstat_wr => istat_wr,
      intstat_wr => istat_wr,
      mem_rd => mem_rd,
      mem_rd => mem_rd,
 
      mem_addr => sbuf_rd_adr,
      ch_st_cap_rd => ch_st_cap_rd,
      ch_st_cap_rd => ch_st_cap_rd,
      ch_st_cap_wr => ch_st_cap_wr,
      ch_st_cap_wr => ch_st_cap_wr,
      ch_st_data_rd => ch_st_data_rd);
      ch_st_data_rd => ch_st_data_rd);
 
 
-- Version register
-- Version register
Line 201... Line 205...
        ctrl_wr => config_wr,
        ctrl_wr => config_wr,
        ctrl_rd => config_rd,
        ctrl_rd => config_rd,
        ctrl_din => wb_dat_i,
        ctrl_din => wb_dat_i,
        ctrl_dout => conf_dout,
        ctrl_dout => conf_dout,
        ctrl_bits => conf_bits);
        ctrl_bits => conf_bits);
 
    conf_mode(3 downto 0) <= "0000";
 
    conf_paren <= '0';
 
    conf_staten <= '0';
 
    conf_useren <= '0';
 
    conf_valen <= '0';
  end generate CG16;
  end generate CG16;
  conf_blken <= conf_bits(5);
  conf_blken <= conf_bits(5);
  conf_valid <= conf_bits(4);
  conf_valid <= conf_bits(4);
  conf_chas <= conf_bits(3);
  conf_chas <= conf_bits(3);
  evt_en <= conf_bits(2);
  evt_en <= conf_bits(2);
Line 320... Line 329...
      rst => wb_rst_i,
      rst => wb_rst_i,
      din => sample_din,
      din => sample_din,
      wr_en => sample_wr,
      wr_en => sample_wr,
      rd_en => mem_rd,
      rd_en => mem_rd,
      wr_addr => sbuf_wr_adr,
      wr_addr => sbuf_wr_adr,
      rd_addr => wb_adr_i(ADDR_WIDTH - 2 downto 0),
      rd_addr => sbuf_rd_adr,
      dout => sample_dout);
      dout => sample_dout);
 
 
-- phase decoder
-- phase decoder
  PDET: rx_phase_det
  PDET: rx_phase_det
    generic map (
    generic map (

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.