OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] [spdif_interface/] [trunk/] [rtl/] [vhdl/] [tx_bitbuf.vhd] - Diff between revs 47 and 53

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 47 Rev 53
Line 43... Line 43...
----------------------------------------------------------------------
----------------------------------------------------------------------
--
--
-- CVS Revision History
-- CVS Revision History
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2004/07/14 17:58:19  gedra
 
-- Transmitter channel status buffer.
 
--
--
--
--
--
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
Line 74... Line 77...
    begin
    begin
      if wb_rst_i = '1' then
      if wb_rst_i = '1' then
        buf_data_a(191 downto 0) <= (others => '0');
        buf_data_a(191 downto 0) <= (others => '0');
        buf_data_b(191 downto 0) <= (others => '0');
        buf_data_b(191 downto 0) <= (others => '0');
      elsif rising_edge(wb_clk_i) then
      elsif rising_edge(wb_clk_i) then
        if buf_wr = '1'  then
        if buf_wr = '1' and to_integer(unsigned(wb_adr_i)) < 24 then
          buf_data_a(8*to_integer(unsigned(wb_adr_i)) + 7 downto
          buf_data_a(8*to_integer(unsigned(wb_adr_i)) + 7 downto to
                     8*to_integer(unsigned(wb_adr_i))) <= wb_dat_i(7 downto 0);
                     8*to_integer(unsigned(wb_adr_i))) <= wb_dat_i(7 downto 0);
          buf_data_b(8*to_integer(unsigned(wb_adr_i)) + 7 downto
          buf_data_b(8*to_integer(unsigned(wb_adr_i)) + 7 downto
                     8*to_integer(unsigned(wb_adr_i))) <= wb_dat_i(15 downto 8);
                     8*to_integer(unsigned(wb_adr_i))) <= wb_dat_i(15 downto 8);
        end if;
        end if;
      end if;
      end if;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.