OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [tags/] [rel_1_0_rev_A/] [bench/] [vhdl/] [tb_elem.vhd] - Diff between revs 4 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 7
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- SD/MMC Bootloader
-- SD/MMC Bootloader
-- Generic testbench element for a specific feature set
-- Generic testbench element for a specific feature set
--
--
-- $Id: tb_elem.vhd,v 1.1 2005-02-08 21:09:20 arniml Exp $
-- $Id: tb_elem.vhd,v 1.2 2005-02-13 17:14:03 arniml Exp $
--
--
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved, see COPYING.
-- All rights reserved, see COPYING.
--
--
Line 216... Line 216...
    -- except start_i as this must be pulled high for automatic start
    -- except start_i as this must be pulled high for automatic start
    start_s      <= '0';
    start_s      <= '0';
    mode_s       <= '1';
    mode_s       <= '1';
    cfg_init_n_s <= '1';
    cfg_init_n_s <= '1';
    cfg_done_s   <= '0';
    cfg_done_s   <= '0';
    dat_done_s   <= '0';
    dat_done_s   <= '1';
    data_s       <= (others => '1');
    data_s       <= (others => '1');
    addr_v       := (others => '0');
    addr_v       := (others => '0');
    eos_o        <= false;
    eos_o        <= false;
 
 
    wait for 100 us;
    wait for 100 us;
Line 247... Line 247...
    -- request next set
    -- request next set
    mode_s  <= '0';
    mode_s  <= '0';
    start_s <= '1';
    start_s <= '1';
    addr_v  := (others => '0');
    addr_v  := (others => '0');
    addr_v(19 downto 18) := "01"; -- must match num_bits_per_set_g in chip-*-a.vhd
    addr_v(19 downto 18) := "01"; -- must match num_bits_per_set_g in chip-*-a.vhd
 
    dat_done_s <= '0';
 
 
    -- receive another 32 bytes from set 1
    -- receive another 32 bytes from set 1
    for i in 1 to 32 loop
    for i in 1 to 32 loop
      temp_v := addr_v(0) & calc_crc(addr_v);
      temp_v := addr_v(0) & calc_crc(addr_v);
      read_check_byte(temp_v);
      read_check_byte(temp_v);
Line 299... Line 300...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2005/02/08 21:09:20  arniml
 
-- initial check-in
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.