OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [tags/] [rel_3_0_rev_C/] [rtl/] [vhdl/] [chip-full-a.vhd] - Diff between revs 38 and 40

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 38 Rev 40
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- SD/MMC Bootloader
-- SD/MMC Bootloader
-- Chip toplevel design with full feature set
-- Chip toplevel design with full feature set
--
--
-- $Id: chip-full-a.vhd,v 1.5 2005-03-09 19:48:34 arniml Exp $
-- $Id: chip-full-a.vhd,v 1.6 2005-04-07 20:44:23 arniml Exp $
--
--
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved, see COPYING.
-- All rights reserved, see COPYING.
--
--
Line 71... Line 71...
      spi_data_out_o : out std_logic;
      spi_data_out_o : out std_logic;
      spi_en_outs_o  : out std_logic;
      spi_en_outs_o  : out std_logic;
      start_i        : in  std_logic;
      start_i        : in  std_logic;
      mode_i         : in  std_logic;
      mode_i         : in  std_logic;
      config_n_o     : out std_logic;
      config_n_o     : out std_logic;
 
      detached_o     : out std_logic;
      cfg_init_n_i   : in  std_logic;
      cfg_init_n_i   : in  std_logic;
      cfg_done_i     : in  std_logic;
      cfg_done_i     : in  std_logic;
      dat_done_i     : in  std_logic;
      dat_done_i     : in  std_logic;
      cfg_clk_o      : out std_logic;
      cfg_clk_o      : out std_logic;
      cfg_dat_o      : out std_logic
      cfg_dat_o      : out std_logic
Line 112... Line 113...
      spi_data_out_o       => spi_data_out_s,
      spi_data_out_o       => spi_data_out_s,
      spi_en_outs_o        => spi_en_outs_s,
      spi_en_outs_o        => spi_en_outs_s,
      start_i              => start_i,
      start_i              => start_i,
      mode_i               => mode_i,
      mode_i               => mode_i,
      config_n_o           => config_n_o,
      config_n_o           => config_n_o,
 
      detached_o           => detached_o,
      cfg_init_n_i         => cfg_init_n_i,
      cfg_init_n_i         => cfg_init_n_i,
      cfg_done_i           => cfg_done_i,
      cfg_done_i           => cfg_done_i,
      dat_done_i           => dat_done_i,
      dat_done_i           => dat_done_i,
      cfg_clk_o            => cfg_clk_o,
      cfg_clk_o            => cfg_clk_o,
      cfg_dat_o            => cfg_dat_o
      cfg_dat_o            => cfg_dat_o
Line 139... Line 141...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2005/03/09 19:48:34  arniml
 
-- invert level of set_sel input
 
--
-- Revision 1.4  2005/03/08 22:07:12  arniml
-- Revision 1.4  2005/03/08 22:07:12  arniml
-- added set selection
-- added set selection
--
--
-- Revision 1.3  2005/02/18 06:42:11  arniml
-- Revision 1.3  2005/02/18 06:42:11  arniml
-- clarify wording for images
-- clarify wording for images

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.