OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [trunk/] [rtl/] [vhdl/] [chip-e.vhd] - Diff between revs 35 and 40

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 40
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- SD/MMC Bootloader
-- SD/MMC Bootloader
-- Chip toplevel
-- Chip toplevel
--
--
-- $Id: chip-e.vhd,v 1.2 2005-03-08 22:07:11 arniml Exp $
-- $Id: chip-e.vhd,v 1.3 2005-04-07 20:44:23 arniml Exp $
--
--
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved, see COPYING.
-- All rights reserved, see COPYING.
--
--
Line 62... Line 62...
    spi_data_out_o : out std_logic;
    spi_data_out_o : out std_logic;
    -- FPGA Configuration Interface -------------------------------------------
    -- FPGA Configuration Interface -------------------------------------------
    start_i        : in  std_logic;
    start_i        : in  std_logic;
    mode_i         : in  std_logic;
    mode_i         : in  std_logic;
    config_n_o     : out std_logic;
    config_n_o     : out std_logic;
 
    detached_o     : out std_logic;
    cfg_init_n_i   : in  std_logic;
    cfg_init_n_i   : in  std_logic;
    cfg_done_i     : in  std_logic;
    cfg_done_i     : in  std_logic;
    dat_done_i     : in  std_logic;
    dat_done_i     : in  std_logic;
    cfg_clk_o      : out std_logic;
    cfg_clk_o      : out std_logic;
    cfg_dat_o      : out std_logic
    cfg_dat_o      : out std_logic
Line 76... Line 77...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2005/03/08 22:07:11  arniml
 
-- added set selection
 
--
-- Revision 1.1  2005/02/08 20:41:30  arniml
-- Revision 1.1  2005/02/08 20:41:30  arniml
-- initial check-in
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.