OpenCores
URL https://opencores.org/ocsvn/spi_boot/spi_boot/trunk

Subversion Repositories spi_boot

[/] [spi_boot/] [trunk/] [rtl/] [vhdl/] [chip-mmc-a.vhd] - Diff between revs 12 and 17

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 17
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- SD/MMC Bootloader
-- SD/MMC Bootloader
-- Chip toplevel design with MMC feature set
-- Chip toplevel design with MMC feature set
--
--
-- $Id: chip-mmc-a.vhd,v 1.2 2005-02-16 18:54:39 arniml Exp $
-- $Id: chip-mmc-a.vhd,v 1.3 2005-02-18 06:42:13 arniml Exp $
--
--
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2005, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved, see COPYING.
-- All rights reserved, see COPYING.
--
--
Line 51... Line 51...
architecture mmc of chip is
architecture mmc of chip is
 
 
  component spi_boot
  component spi_boot
    generic (
    generic (
      width_bit_cnt_g      : integer := 6;
      width_bit_cnt_g      : integer := 6;
      width_set_cnt_g      : integer := 2;
      width_img_cnt_g      : integer := 2;
      num_bits_per_set_g   : integer := 18;
      num_bits_per_img_g   : integer := 18;
      sd_init_g            : integer := 0;
      sd_init_g            : integer := 0;
      mmc_compat_clk_div_g : integer := 0;
      mmc_compat_clk_div_g : integer := 0;
      width_mmc_clk_div_g  : integer := 0;
      width_mmc_clk_div_g  : integer := 0;
      reset_level_g        : integer := 0
      reset_level_g        : integer := 0
    );
    );
Line 87... Line 87...
begin
begin
 
 
  spi_boot_b : spi_boot
  spi_boot_b : spi_boot
    generic map (
    generic map (
      width_bit_cnt_g      => 12,       -- 512 bytes per block
      width_bit_cnt_g      => 12,       -- 512 bytes per block
      width_set_cnt_g      => 2,        -- 4 sets
      width_img_cnt_g      => 2,        -- 4 images
      num_bits_per_set_g   => 18,       -- 256 kByte per set
      num_bits_per_img_g   => 18,       -- 256 kByte per image
      sd_init_g            => 0,        -- no SD specific initialization
      sd_init_g            => 0,        -- no SD specific initialization
      mmc_compat_clk_div_g => 13,       -- MMC compat 400 kHz > 10 MHz / (13*2)
      mmc_compat_clk_div_g => 13,       -- MMC compat 400 kHz > 10 MHz / (13*2)
      width_mmc_clk_div_g  => 4         -- need 5 bits for MMC compat divider
      width_mmc_clk_div_g  => 4         -- need 5 bits for MMC compat divider
    )
    )
    port map (
    port map (
Line 131... Line 131...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2005/02/16 18:54:39  arniml
 
-- added tri-state drivers for spi outputs
 
--
-- Revision 1.1  2005/02/08 20:41:32  arniml
-- Revision 1.1  2005/02/08 20:41:32  arniml
-- initial check-in
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.