Line 63... |
Line 63... |
opb_isr : in std_logic_vector(C_NUM_INT-1 downto 0);
|
opb_isr : in std_logic_vector(C_NUM_INT-1 downto 0);
|
opb_isr_clr : out std_logic_vector(C_NUM_INT-1 downto 0);
|
opb_isr_clr : out std_logic_vector(C_NUM_INT-1 downto 0);
|
-- dma register
|
-- dma register
|
opb_tx_dma_addr : out std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
opb_tx_dma_addr : out std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
opb_tx_dma_ctl : out std_logic_vector(0 downto 0);
|
opb_tx_dma_ctl : out std_logic_vector(0 downto 0);
|
opb_tx_dma_num : out std_logic_vector(15 downto 0);
|
opb_tx_dma_num : out std_logic_vector(C_WIDTH_DMA_NUM-1 downto 0);
|
opb_rx_dma_addr : out std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
opb_rx_dma_addr : out std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
opb_rx_dma_ctl : out std_logic_vector(0 downto 0);
|
opb_rx_dma_ctl : out std_logic_vector(0 downto 0);
|
opb_rx_dma_num : out std_logic_vector(15 downto 0));
|
opb_rx_dma_num : out std_logic_vector(C_WIDTH_DMA_NUM-1 downto 0));
|
end opb_if;
|
end opb_if;
|
|
|
architecture behavior of opb_if is
|
architecture behavior of opb_if is
|
|
|
|
|
Line 94... |
Line 94... |
|
|
|
|
-- only used if C_DMA_EN=true
|
-- only used if C_DMA_EN=true
|
signal opb_tx_dma_addr_int : std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
signal opb_tx_dma_addr_int : std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
signal opb_tx_dma_ctl_int : std_logic_vector(0 downto 0);
|
signal opb_tx_dma_ctl_int : std_logic_vector(0 downto 0);
|
signal opb_tx_dma_num_int : std_logic_vector(15 downto 0);
|
signal opb_tx_dma_num_int : std_logic_vector(C_WIDTH_DMA_NUM-1 downto 0);
|
signal opb_rx_dma_addr_int : std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
signal opb_rx_dma_addr_int : std_logic_vector(C_OPB_DWIDTH-1 downto 0);
|
signal opb_rx_dma_ctl_int : std_logic_vector(0 downto 0);
|
signal opb_rx_dma_ctl_int : std_logic_vector(0 downto 0);
|
signal opb_rx_dma_num_int : std_logic_vector(15 downto 0);
|
signal opb_rx_dma_num_int : std_logic_vector(C_WIDTH_DMA_NUM-1 downto 0);
|
|
|
begin -- behavior
|
begin -- behavior
|
|
|
tx_thresh <= tx_thresh_int;
|
tx_thresh <= tx_thresh_int;
|
rx_thresh <= rx_thresh_int;
|
rx_thresh <= rx_thresh_int;
|
Line 228... |
Line 228... |
Sln_DBus_big_end(C_OPB_DWIDTH-1 downto 0) <= opb_tx_dma_addr_int;
|
Sln_DBus_big_end(C_OPB_DWIDTH-1 downto 0) <= opb_tx_dma_addr_int;
|
end if;
|
end if;
|
|
|
when C_ADR_TX_DMA_NUM =>
|
when C_ADR_TX_DMA_NUM =>
|
if C_DMA_EN then
|
if C_DMA_EN then
|
Sln_DBus_big_end(15 downto 0) <= opb_tx_dma_num_int;
|
Sln_DBus_big_end(C_WIDTH_DMA_NUM-1 downto 0) <= opb_tx_dma_num_int;
|
end if;
|
end if;
|
|
|
|
|
when C_ADR_RX_DMA_CTL =>
|
when C_ADR_RX_DMA_CTL =>
|
if C_DMA_EN then
|
if C_DMA_EN then
|
Line 244... |
Line 244... |
Sln_DBus_big_end(C_OPB_DWIDTH-1 downto 0) <= opb_rx_dma_addr_int;
|
Sln_DBus_big_end(C_OPB_DWIDTH-1 downto 0) <= opb_rx_dma_addr_int;
|
end if;
|
end if;
|
|
|
when C_ADR_RX_DMA_NUM =>
|
when C_ADR_RX_DMA_NUM =>
|
if C_DMA_EN then
|
if C_DMA_EN then
|
Sln_DBus_big_end(15 downto 0) <= opb_rx_dma_num_int;
|
Sln_DBus_big_end(C_WIDTH_DMA_NUM-1 downto 0) <= opb_rx_dma_num_int;
|
end if;
|
end if;
|
|
|
|
|
|
|
when others =>
|
when others =>
|
Line 291... |
Line 291... |
opb_tx_dma_addr_int <= OPB_DBus_big_end(C_OPB_DWIDTH-1 downto 0);
|
opb_tx_dma_addr_int <= OPB_DBus_big_end(C_OPB_DWIDTH-1 downto 0);
|
end if;
|
end if;
|
|
|
when C_ADR_TX_DMA_NUM =>
|
when C_ADR_TX_DMA_NUM =>
|
if C_DMA_EN then
|
if C_DMA_EN then
|
opb_tx_dma_num_int <= OPB_DBus_big_end(15 downto 0);
|
opb_tx_dma_num_int <= OPB_DBus_big_end(C_WIDTH_DMA_NUM-1 downto 0);
|
end if;
|
end if;
|
|
|
when C_ADR_RX_DMA_CTL =>
|
when C_ADR_RX_DMA_CTL =>
|
if C_DMA_EN then
|
if C_DMA_EN then
|
opb_rx_dma_ctl_int <= OPB_DBus_big_end(0 downto 0);
|
opb_rx_dma_ctl_int <= OPB_DBus_big_end(0 downto 0);
|
Line 306... |
Line 306... |
opb_rx_dma_addr_int <= OPB_DBus_big_end(C_OPB_DWIDTH-1 downto 0);
|
opb_rx_dma_addr_int <= OPB_DBus_big_end(C_OPB_DWIDTH-1 downto 0);
|
end if;
|
end if;
|
|
|
when C_ADR_RX_DMA_NUM =>
|
when C_ADR_RX_DMA_NUM =>
|
if C_DMA_EN then
|
if C_DMA_EN then
|
opb_rx_dma_num_int <= OPB_DBus_big_end(15 downto 0);
|
opb_rx_dma_num_int <= OPB_DBus_big_end(C_WIDTH_DMA_NUM-1 downto 0);
|
end if;
|
end if;
|
|
|
when others =>
|
when others =>
|
null;
|
null;
|
end case;
|
end case;
|