OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [1942/] [1942.v] - Diff between revs 4 and 5

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 19... Line 19...
module sound1942;
module sound1942;
  // inputs to Z80
  // inputs to Z80
  reg reset_n, clk, int_n, sound_clk;
  reg reset_n, clk, int_n, sound_clk;
 
 
  initial begin
  initial begin
    //$dumpfile("dump.lxt");
/*    $dumpfile("dump.lxt");
    //$dumpvars(1,map.ym2203_0);    
    $dumpvars(1,pwm0);
 
    $dumpvars(1,pwm1);*/
//              $dumpvars();
//              $dumpvars();
//    $dumpon;
//    $dumpon;
//              $shm_open("1942.shm");
//              $shm_open("1942.shm");
//              $shm_probe( sound1942, "ACTFS" );
//              $shm_probe( sound1942, "ACTFS" );
    reset_n=0;
    reset_n=0;
    #1500 reset_n=1;
    #1500 reset_n=1;
                // change finish time depending on song
                // change finish time depending on song
                //#4e6 $finish;
                //#4e6 $finish;
    #5e9 $finish;
    #6e9 $finish;
  end
  end
 
 
  always begin // main clock
  always begin // main clock
    clk=0;
    clk=0;
    forever clk = #167 ~clk;
    forever clk = #167 ~clk;
Line 53... Line 54...
                        //$display("IRQ request @ %t us",$time/1e6);
                        //$display("IRQ request @ %t us",$time/1e6);
                        #(int_low_time) int_n=1;
                        #(int_low_time) int_n=1;
                end
                end
  end
  end
 
 
        always #22676 $display("%d", amp0_y+amp1_y ); // 44.1kHz sample
 
 
 
        wire [3:0] ay0_a, ay0_b, ay0_c, ay1_a, ay1_b, ay1_c;
        wire [3:0] ay0_a, ay0_b, ay0_c, ay1_a, ay1_b, ay1_c;
  computer_1942 #(0) game( .clk(clk), .sound_clk(sound_clk),
  computer_1942 #(0) game( .clk(clk), .sound_clk(sound_clk),
    .int_n(int_n), .reset_n(reset_n),
    .int_n(int_n), .reset_n(reset_n),
    .ay0_a(ay0_a), .ay0_b(ay0_b), .ay0_c(ay0_c),
    .ay0_a(ay0_a), .ay0_b(ay0_b), .ay0_c(ay0_c),
    .ay1_a(ay1_a), .ay1_b(ay1_b), .ay1_c(ay1_c) );
    .ay1_a(ay1_a), .ay1_b(ay1_b), .ay1_c(ay1_c) );
  // sound amplifier:
  // sound amplifier:
  wire [15:0] amp0_y, amp1_y;
  /*
        SQM_AMP amp0( .A(ay0_a), .B(ay0_b), .C(ay0_c), .Y( amp0_y ));
  wire [15:0] amp0_y, amp1_y;
        SQM_AMP amp1( .A(ay1_a), .B(ay1_b), .C(ay1_c), .Y( amp1_y ));
        SQM_AMP amp0( .A(ay0_a), .B(ay0_b), .C(ay0_c), .Y( amp0_y ));
 
        SQM_AMP amp1( .A(ay1_a), .B(ay1_b), .C(ay1_c), .Y( amp1_y ));
 
        always #22676 $display("%d", amp0_y+amp1_y ); // 44.1kHz sample
 
        */
 
        reg vhf_clk;
 
        always begin
 
          vhf_clk=0;
 
          forever begin
 
            if( vhf_clk ) begin
 
              $display("%d, %d, %d, %d, %d, %d",
 
                pwm0_a, pwm0_b, pwm0_c, pwm1_a, pwm1_b, pwm1_c );
 
            end
 
            #10 vhf_clk <= ~vhf_clk; // 50MHz
 
          end
 
        end
 
 
 
  SQM_PWM_1 a0pwm( .clk(vhf_clk), .reset_n(reset_n), .din(ay0_a), .pwm(pwm0_a) );
 
  SQM_PWM_1 b0pwm( .clk(vhf_clk), .reset_n(reset_n), .din(ay0_b), .pwm(pwm0_b) );
 
  SQM_PWM_1 c0pwm( .clk(vhf_clk), .reset_n(reset_n), .din(ay0_c), .pwm(pwm0_c) );
 
 
 
  SQM_PWM_1 a1pwm( .clk(vhf_clk), .reset_n(reset_n), .din(ay1_a), .pwm(pwm1_a) );
 
  SQM_PWM_1 b1pwm( .clk(vhf_clk), .reset_n(reset_n), .din(ay1_b), .pwm(pwm1_b) );
 
  SQM_PWM_1 c1pwm( .clk(vhf_clk), .reset_n(reset_n), .din(ay1_c), .pwm(pwm1_c) );
endmodule
endmodule
 
 
/////////////////////////////////////////////////////
/////////////////////////////////////////////////////
module computer_1942
module computer_1942
#(parameter dump_regs=0) // set to 1 to dump sqmusic registers
#(parameter dump_regs=0) // set to 1 to dump sqmusic registers

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.