OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [rtl/] [pkt_parse.v] - Diff between revs 8 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 11
Line 6... Line 6...
//
//
// If packet parses correctly and is not an error packet, sends
// If packet parses correctly and is not an error packet, sends
// a parse result to the FIB for lookup.  Otherwise aborts the
// a parse result to the FIB for lookup.  Otherwise aborts the
// packet so it is flushed from the packet FIFO.
// packet so it is flushed from the packet FIFO.
module pkt_parse
module pkt_parse
 
  #(parameter port_num=0)
  (input          clk,
  (input          clk,
   input          reset,
   input          reset,
 
 
   input          rxg_srdy,
   input          rxg_srdy,
   output         rxg_drdy,
   output         rxg_drdy,
Line 72... Line 73...
 
 
          case (count)
          case (count)
            0, 1, 2, 3, 4, 5 :
            0, 1, 2, 3, 4, 5 :
              begin
              begin
                if (count == 0)
                if (count == 0)
 
                  begin
                  nxt_p2f_data = 0;
                  nxt_p2f_data = 0;
 
                    nxt_p2f_data[`PAR_SRCPORT] = port_num;
 
                  end
 
 
                if ((lp_code == `PCC_EOP) || (lp_code == `PCC_BADEOP))
                if ((lp_code == `PCC_EOP) || (lp_code == `PCC_BADEOP))
                  begin
                  begin
                    lc_code = `PCC_BADEOP;
                    lc_code = `PCC_BADEOP;
                    nxt_count = 0;
                    nxt_count = 0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.