OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [rtl/] [verilog/] [buffers/] [sd_fifo_head_b.v] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 51... Line 51...
  reg [asz-1:0]       nxt_wrptr;
  reg [asz-1:0]       nxt_wrptr;
  reg [asz-1:0]       wrptr_p1;
  reg [asz-1:0]       wrptr_p1;
  reg                   empty;
  reg                   empty;
  reg                   full, nxt_full;
  reg                   full, nxt_full;
  reg [asz-1:0]         nxt_com_wrptr;
  reg [asz-1:0]         nxt_com_wrptr;
  generate if (!commit)
 
    always @* com_wrptr = cur_wrptr;
 
  endgenerate
 
 
 
  assign                c_drdy = !full & enable;
  assign                c_drdy = !full & enable;
 
 
  always @*
  always @*
    begin
    begin
Line 99... Line 96...
          cur_wrptr <= `SDLIB_DELAY nxt_wrptr;
          cur_wrptr <= `SDLIB_DELAY nxt_wrptr;
          full  <= `SDLIB_DELAY nxt_full;
          full  <= `SDLIB_DELAY nxt_full;
        end // else: !if(reset)
        end // else: !if(reset)
    end // always @ (posedge clk)
    end // always @ (posedge clk)
 
 
  generate if (commit)
  generate
 
    if (commit)
 
      begin
    always @*
    always @*
      begin
      begin
        if (enable & c_commit & !c_abort & c_srdy & !full)
        if (enable & c_commit & !c_abort & c_srdy & !full)
          nxt_com_wrptr = wrptr_p1;
          nxt_com_wrptr = wrptr_p1;
        else
        else
Line 115... Line 114...
        if (reset)
        if (reset)
          com_wrptr <= `SDLIB_DELAY bound_low;
          com_wrptr <= `SDLIB_DELAY bound_low;
        else
        else
          com_wrptr <= `SDLIB_DELAY nxt_com_wrptr;
          com_wrptr <= `SDLIB_DELAY nxt_com_wrptr;
      end
      end
 
      end // if (commit)
 
    else
 
      begin
 
        always @*
 
          com_wrptr = cur_wrptr;
 
      end
  endgenerate
  endgenerate
 
 
endmodule // fifo_head
endmodule // fifo_head
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.