OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [tags/] [rel_0_1_beta/] [rtl/] [vhdl/] [t400_core.vhd] - Diff between revs 53 and 68

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 53 Rev 68
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T400 Microcontroller Core
-- T400 Microcontroller Core
--
--
-- $Id: t400_core.vhd,v 1.5 2006-05-23 01:13:56 arniml Exp $
-- $Id: t400_core.vhd,v 1.6 2006-05-27 19:11:33 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 161... Line 161...
  signal skip_s,
  signal skip_s,
         skip_lbi_s      : boolean;
         skip_lbi_s      : boolean;
  signal tim_c_s         : boolean;
  signal tim_c_s         : boolean;
 
 
  signal in_s            : dw_t;
  signal in_s            : dw_t;
 
  signal int_s           : boolean;
 
 
  signal io_g_s          : std_logic_vector(io_g_i'range);
  signal io_g_s          : std_logic_vector(io_g_i'range);
 
 
  signal vdd_s  : std_logic;
  signal vdd_s  : std_logic;
  signal gnd4_s : dw_t;
  signal gnd4_s : dw_t;
Line 289... Line 290...
      dec_data_o => dec_data_s,
      dec_data_o => dec_data_s,
      is_lbi_o   => is_lbi_s,
      is_lbi_o   => is_lbi_s,
      en_o       => en_s,
      en_o       => en_s,
      skip_i     => skip_s,
      skip_i     => skip_s,
      skip_lbi_i => skip_lbi_s,
      skip_lbi_i => skip_lbi_s,
 
      int_i      => int_s,
      pm_addr_i  => pm_addr_s,
      pm_addr_i  => pm_addr_s,
      pm_data_i  => pm_data_i
      pm_data_i  => pm_data_i
    );
    );
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Skip logic
  -- Skip logic
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  skip_b : t400_skip
  skip_b : t400_skip
 
    generic map (
 
      opt_type_g => opt_type_g
 
    )
    port map (
    port map (
      ck_i       => ck_i,
      ck_i       => ck_i,
      ck_en_i    => ck_en_s,
      ck_en_i    => ck_en_s,
      por_i      => por_s,
      por_i      => por_s,
      res_i      => res_s,
      res_i      => res_s,
Line 450... Line 455...
    io_in_b : t400_io_in
    io_in_b : t400_io_in
      port map (
      port map (
        ck_i    => ck_i,
        ck_i    => ck_i,
        ck_en_i => ck_en_s,
        ck_en_i => ck_en_s,
        por_i   => por_s,
        por_i   => por_s,
 
        icyc_en_i => icyc_en_s,
        in_en_i => in_en_s,
        in_en_i => in_en_s,
        op_i    => io_in_op_s,
        op_i    => io_in_op_s,
        en1_i   => en_s(1),
        en1_i   => en_s(1),
        io_in_i => io_in_i,
        io_in_i => io_in_i,
        in_o    => in_s,
        in_o    => in_s,
        int_o   => open
        int_o     => int_s
      );
      );
  end generate;
  end generate;
 
 
  no_in: if opt_type_g /= t400_opt_type_420_c generate
  no_in: if opt_type_g /= t400_opt_type_420_c generate
    in_s <= (others => '0');
    in_s <= (others => '0');
 
    int_s <= false;
  end generate;
  end generate;
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- SIO module
  -- SIO module
Line 520... Line 527...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2006/05/23 01:13:56  arniml
 
-- use to_X01 for G input
 
--
-- Revision 1.4  2006/05/22 00:03:29  arniml
-- Revision 1.4  2006/05/22 00:03:29  arniml
-- io_in added
-- io_in added
--
--
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- route cko to ALU for INIL instruction
-- route cko to ALU for INIL instruction

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.