OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_pack-p.vhd] - Diff between revs 18 and 56

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 56
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: tb_pack-p.vhd,v 1.1 2006-05-15 21:55:27 arniml Exp $
-- $Id: tb_pack-p.vhd,v 1.2 2006-05-23 01:17:25 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 21... Line 21...
    );
    );
    port (
    port (
      io_l_i : in  std_logic_vector(7 downto 0);
      io_l_i : in  std_logic_vector(7 downto 0);
      io_d_i : in  std_logic_vector(d_width_g-1 downto 0);
      io_d_i : in  std_logic_vector(d_width_g-1 downto 0);
      io_g_i : in  std_logic_vector(g_width_g-1 downto 0);
      io_g_i : in  std_logic_vector(g_width_g-1 downto 0);
 
      io_in_o : out std_logic_vector(g_width_g-1 downto 0);
      so_i   : in  std_logic;
      so_i   : in  std_logic;
      si_o   : out std_logic;
      si_o   : out std_logic;
      sk_i   : in  std_logic;
      sk_i   : in  std_logic;
      ck_o   : out std_logic
      ck_o   : out std_logic
    );
    );
Line 35... Line 36...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2006/05/15 21:55:27  arniml
 
-- initial check-in
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.