OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_t411.vhd] - Diff between revs 58 and 66

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 58 Rev 66
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Testbench for the T411 system toplevel.
-- Testbench for the T411 system toplevel.
--
--
-- $Id: tb_t411.vhd,v 1.4 2006-05-23 01:18:26 arniml Exp $
-- $Id: tb_t411.vhd,v 1.5 2006-05-27 19:10:12 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 51... Line 51...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t400_system_comp_pack.t411;
use work.t400_system_comp_pack.t411;
use work.tb_pack.tb_elems;
use work.tb_pack.tb_elems;
 
use work.t400_opt_pack.all;
 
 
architecture behav of tb_t411 is
architecture behav of tb_t411 is
 
 
  -- 210.4 kHz clock
  -- 210.4 kHz clock
  --  -> 52.6 kHz internal clock
 
  constant period_c : time := 4.75 us;
  constant period_c : time := 4.75 us;
  signal   ck_s     : std_logic;
  signal   ck_s     : std_logic;
  signal   en_ck_s  : std_logic;
  signal   en_ck_s  : std_logic;
 
 
  signal reset_n_s  : std_logic;
  signal reset_n_s  : std_logic;
Line 82... Line 82...
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- DUT
  -- DUT
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  t411_b : t411
  t411_b : t411
 
    generic map (
 
      opt_ck_div_g => t400_opt_ck_div_8_c
 
    )
    port map (
    port map (
      ck_i      => ck_s,
      ck_i      => ck_s,
      ck_en_i   => vdd_s,
      ck_en_i   => vdd_s,
      reset_n_i => reset_n_s,
      reset_n_i => reset_n_s,
      si_i      => si_s,
      si_i      => si_s,
Line 126... Line 129...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2006/05/23 01:18:26  arniml
 
-- consider IN port
 
--
-- Revision 1.3  2006/05/15 21:56:02  arniml
-- Revision 1.3  2006/05/15 21:56:02  arniml
-- moved elements to separate design unit tb_elems
-- moved elements to separate design unit tb_elems
--
--
-- Revision 1.2  2006/05/06 13:34:25  arniml
-- Revision 1.2  2006/05/06 13:34:25  arniml
-- remove delta cycle filter on sk_s
-- remove delta cycle filter on sk_s

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.