OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_t420.vhd] - Diff between revs 67 and 105

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 67 Rev 105
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Testbench for the T420 system toplevel.
-- Testbench for the T420 system toplevel.
--
--
-- $Id: tb_t420.vhd,v 1.4 2006-05-27 19:10:20 arniml Exp $
-- $Id: tb_t420.vhd,v 1.5 2006-06-05 18:50:45 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 58... Line 58...
architecture behav of tb_t420 is
architecture behav of tb_t420 is
 
 
  -- 210.4 kHz clock
  -- 210.4 kHz clock
  constant period_c : time := 4.75 us;
  constant period_c : time := 4.75 us;
  signal   ck_s     : std_logic;
  signal   ck_s     : std_logic;
  signal   en_ck_s  : std_logic;
 
 
 
  signal reset_n_s  : std_logic;
  signal reset_n_s  : std_logic;
 
 
  signal io_l_s     : std_logic_vector(7 downto 0);
  signal io_l_s     : std_logic_vector(7 downto 0);
  signal io_d_s     : std_logic_vector(3 downto 0);
  signal io_d_s     : std_logic_vector(3 downto 0);
Line 134... Line 133...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2006/05/27 19:10:20  arniml
 
-- explicitly select clock divider 4
 
--
-- Revision 1.3  2006/05/24 00:48:49  arniml
-- Revision 1.3  2006/05/24 00:48:49  arniml
-- connect cko_i to bit 2 of IN bus
-- connect cko_i to bit 2 of IN bus
--
--
-- Revision 1.2  2006/05/23 01:18:10  arniml
-- Revision 1.2  2006/05/23 01:18:10  arniml
-- consider CKO and IN port
-- consider CKO and IN port

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.