OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_t420.vhd] - Diff between revs 20 and 57

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 57
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Testbench for the T420 system toplevel.
-- Testbench for the T420 system toplevel.
--
--
-- $Id: tb_t420.vhd,v 1.1 2006-05-15 22:21:59 arniml Exp $
-- $Id: tb_t420.vhd,v 1.2 2006-05-23 01:18:10 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 51... Line 51...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
use work.t400_system_comp_pack.t420;
use work.t400_system_comp_pack.t420;
use work.tb_pack.tb_elems;
use work.tb_pack.tb_elems;
 
use work.t400_opt_pack.all;
 
 
architecture behav of tb_t420 is
architecture behav of tb_t420 is
 
 
  -- 210.4 kHz clock
  -- 210.4 kHz clock
  --  -> 52.6 kHz internal clock
  --  -> 52.6 kHz internal clock
Line 83... Line 84...
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- DUT
  -- DUT
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  t420_b : t420
  t420_b : t420
 
    generic map (
 
      opt_cko_g => t400_opt_cko_gpi_c
 
    )
    port map (
    port map (
      ck_i      => ck_s,
      ck_i      => ck_s,
      ck_en_i   => vdd_s,
      ck_en_i   => vdd_s,
      reset_n_i => reset_n_s,
      reset_n_i => reset_n_s,
 
      cko_i     => vdd_s,
      si_i      => si_s,
      si_i      => si_s,
      so_o      => so_s,
      so_o      => so_s,
      sk_o      => sk_s,
      sk_o      => sk_s,
      io_l_b    => io_l_s,
      io_l_b    => io_l_s,
      io_d_o    => io_d_s,
      io_d_o    => io_d_s,
Line 115... Line 120...
    )
    )
    port map (
    port map (
      io_l_i => io_l_s,
      io_l_i => io_l_s,
      io_d_i => io_d_s,
      io_d_i => io_d_s,
      io_g_i => io_g_s,
      io_g_i => io_g_s,
 
      io_in_o => io_in_s,
      so_i   => so_s,
      so_i   => so_s,
      si_o   => si_s,
      si_o   => si_s,
      sk_i   => sk_s,
      sk_i   => sk_s,
      ck_o   => ck_s
      ck_o   => ck_s
    );
    );
Line 128... Line 134...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2006/05/15 22:21:59  arniml
 
-- initial check-in
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.