OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_t420.vhd] - Diff between revs 57 and 60

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 57 Rev 60
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Testbench for the T420 system toplevel.
-- Testbench for the T420 system toplevel.
--
--
-- $Id: tb_t420.vhd,v 1.2 2006-05-23 01:18:10 arniml Exp $
-- $Id: tb_t420.vhd,v 1.3 2006-05-24 00:48:49 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 91... Line 91...
    )
    )
    port map (
    port map (
      ck_i      => ck_s,
      ck_i      => ck_s,
      ck_en_i   => vdd_s,
      ck_en_i   => vdd_s,
      reset_n_i => reset_n_s,
      reset_n_i => reset_n_s,
      cko_i     => vdd_s,
      cko_i     => io_in_s(2),
      si_i      => si_s,
      si_i      => si_s,
      so_o      => so_s,
      so_o      => so_s,
      sk_o      => sk_s,
      sk_o      => sk_s,
      io_l_b    => io_l_s,
      io_l_b    => io_l_s,
      io_d_o    => io_d_s,
      io_d_o    => io_d_s,
Line 134... Line 134...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/23 01:18:10  arniml
 
-- consider CKO and IN port
 
--
-- Revision 1.1  2006/05/15 22:21:59  arniml
-- Revision 1.1  2006/05/15 22:21:59  arniml
-- initial check-in
-- initial check-in
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.