OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [tech/] [generic/] [generic_ram.vhd] - Diff between revs 153 and 162

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 153 Rev 162
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Parametrizable, generic RAM.
-- Parametrizable, generic RAM.
--
--
-- $Id: generic_ram.vhd,v 1.2 2006-06-17 14:20:59 arniml Exp $
-- $Id: generic_ram.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 73... Line 73...
  signal mem_q : mem_t
  signal mem_q : mem_t
    -- pragma translate_off
    -- pragma translate_off
    := (others => (others => '0'))
    := (others => (others => '0'))
    -- pragma translate_on
    -- pragma translate_on
    ;
    ;
  signal a_q : std_logic_vector(a_i'range);
 
 
 
begin
begin
 
 
  mem: process (clk_i)
  mem: process (clk_i)
  begin
  begin
Line 85... Line 84...
    if clk_i'event and clk_i = '1' then
    if clk_i'event and clk_i = '1' then
      if we_i = '1' then
      if we_i = '1' then
        mem_q(to_integer(unsigned(a_i))) <= d_i;
        mem_q(to_integer(unsigned(a_i))) <= d_i;
      end if;
      end if;
 
 
      a_q <= a_i;
      d_o <= mem_q(to_integer(unsigned(a_i)));
    end if;
    end if;
 
 
  end process mem;
  end process mem;
 
 
  d_o <= mem_q(to_integer(unsigned(a_q)));
 
 
 
end rtl;
end rtl;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.