OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t400_system_comp_pack-p.vhd] - Diff between revs 136 and 143

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 136 Rev 143
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t400_system_comp_pack-p.vhd,v 1.5 2006-06-11 13:48:13 arniml Exp $
-- $Id: t400_system_comp_pack-p.vhd,v 1.6 2006-06-11 22:18:52 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 57... Line 57...
      sk_o      : out std_logic;
      sk_o      : out std_logic;
      sk_en_o   : out std_logic
      sk_en_o   : out std_logic
    );
    );
  end component;
  end component;
 
 
 
  component t410
 
    generic (
 
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
 
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_2_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_1_g   : integer := t400_opt_out_type_std_c;
 
      opt_l_out_type_0_g   : integer := t400_opt_out_type_std_c;
 
      opt_d_out_type_3_g   : integer := t400_opt_out_type_std_c;
 
      opt_d_out_type_2_g   : integer := t400_opt_out_type_std_c;
 
      opt_d_out_type_1_g   : integer := t400_opt_out_type_std_c;
 
      opt_d_out_type_0_g   : integer := t400_opt_out_type_std_c;
 
      opt_g_out_type_3_g   : integer := t400_opt_out_type_std_c;
 
      opt_g_out_type_2_g   : integer := t400_opt_out_type_std_c;
 
      opt_g_out_type_1_g   : integer := t400_opt_out_type_std_c;
 
      opt_g_out_type_0_g   : integer := t400_opt_out_type_std_c;
 
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
 
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
 
    );
 
    port (
 
      ck_i      : in    std_logic;
 
      ck_en_i   : in    std_logic;
 
      reset_n_i : in    std_logic;
 
      io_l_b    : inout std_logic_vector(7 downto 0);
 
      io_d_o    : out   std_logic_vector(3 downto 0);
 
      io_g_b    : inout std_logic_vector(3 downto 0);
 
      si_i      : in    std_logic;
 
      so_o      : out   std_logic;
 
      sk_o      : out   std_logic
 
    );
 
  end component;
 
 
  component t411
  component t411
    generic (
    generic (
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
Line 219... Line 254...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2006/06/11 13:48:13  arniml
 
-- * t421 added
 
-- * fixed generic list for t420 and t420_notri
 
--
-- Revision 1.4  2006/06/05 20:02:46  arniml
-- Revision 1.4  2006/06/05 20:02:46  arniml
-- use microbus generic
-- use microbus generic
--
--
-- Revision 1.3  2006/05/23 01:16:19  arniml
-- Revision 1.3  2006/05/23 01:16:19  arniml
-- routi CKO to t400_core
-- routi CKO to t400_core

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.