OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t400_system_comp_pack-p.vhd] - Diff between revs 14 and 55

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 55
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t400_system_comp_pack-p.vhd,v 1.2 2006-05-14 22:29:33 arniml Exp $
-- $Id: t400_system_comp_pack-p.vhd,v 1.3 2006-05-23 01:16:19 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 137... Line 137...
  end component;
  end component;
 
 
  component t420
  component t420
    generic (
    generic (
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
      opt_ck_div_g         : integer := t400_opt_ck_div_16_c;
 
      opt_cko_g            : integer := t400_opt_cko_crystal_c;
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_7_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_6_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_5_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_4_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
      opt_l_out_type_3_g   : integer := t400_opt_out_type_std_c;
Line 157... Line 158...
    );
    );
    port (
    port (
      ck_i      : in    std_logic;
      ck_i      : in    std_logic;
      ck_en_i   : in    std_logic;
      ck_en_i   : in    std_logic;
      reset_n_i : in    std_logic;
      reset_n_i : in    std_logic;
 
      cko_i     : in    std_logic;
      io_l_b    : inout std_logic_vector(7 downto 0);
      io_l_b    : inout std_logic_vector(7 downto 0);
      io_d_o    : out   std_logic_vector(3 downto 0);
      io_d_o    : out   std_logic_vector(3 downto 0);
      io_g_b    : inout std_logic_vector(3 downto 0);
      io_g_b    : inout std_logic_vector(3 downto 0);
      io_in_i   : in    std_logic_vector(3 downto 0);
      io_in_i   : in    std_logic_vector(3 downto 0);
      si_i      : in    std_logic;
      si_i      : in    std_logic;
Line 174... Line 176...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/14 22:29:33  arniml
 
-- t420 hierarchies added
 
--
-- Revision 1.1.1.1  2006/05/06 01:56:45  arniml
-- Revision 1.1.1.1  2006/05/06 01:56:45  arniml
-- import from local CVS repository, LOC_CVS_0_1
-- import from local CVS repository, LOC_CVS_0_1
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.