OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t410_notri.vhd] - Diff between revs 13 and 108

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 13 Rev 108
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T410/411 controller toplevel without tri-states.
-- T410/411 controller toplevel without tri-states.
--
--
-- $Id: t410_notri.vhd,v 1.2 2006-05-08 02:36:38 arniml Exp $
-- $Id: t410_notri.vhd,v 1.3 2006-06-05 20:03:11 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 95... Line 95...
end t410_notri;
end t410_notri;
 
 
 
 
use work.t400_core_comp_pack.t400_core;
use work.t400_core_comp_pack.t400_core;
use work.t400_tech_comp_pack.t400_por;
use work.t400_tech_comp_pack.t400_por;
use work.t400_tech_comp_pack.generic_ram;
use work.t400_tech_comp_pack.generic_ram_ena;
 
 
architecture struct of t410_notri is
architecture struct of t410_notri is
 
 
  component t410_rom
  component t410_rom
    port (
    port (
Line 194... Line 194...
 
 
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Data memory
  -- Data memory
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  dmem_b : generic_ram
  dmem_b : generic_ram_ena
    generic map (
    generic map (
      addr_width_g => 5,
      addr_width_g => 5,
      data_width_g => 4
      data_width_g => 4
    )
    )
    port map (
    port map (
      clk_i => ck_i,
      clk_i => ck_i,
      a_i   => dm_addr_s(4 downto 0),
      a_i   => dm_addr_s(4 downto 0),
      we_i  => dm_we_s,
      we_i  => dm_we_s,
 
      ena_i => ck_en_i,
      d_i   => dm_data_from_core_s,
      d_i   => dm_data_from_core_s,
      d_o   => dm_data_to_core_s
      d_o   => dm_data_to_core_s
    );
    );
 
 
 
 
Line 228... Line 229...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/08 02:36:38  arniml
 
-- hand-down clock divider option
 
--
-- Revision 1.1.1.1  2006/05/06 01:56:45  arniml
-- Revision 1.1.1.1  2006/05/06 01:56:45  arniml
-- import from local CVS repository, LOC_CVS_0_1
-- import from local CVS repository, LOC_CVS_0_1
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.