OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [system/] [t420.vhd] - Diff between revs 15 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 27
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T420 system toplevel.
-- T420 system toplevel.
--
--
-- $Id: t420.vhd,v 1.1 2006-05-14 22:29:01 arniml Exp $
-- $Id: t420.vhd,v 1.2 2006-05-17 00:38:31 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 90... Line 90...
 
 
  signal io_l_from_t420_s,
  signal io_l_from_t420_s,
         io_l_en_s         : std_logic_vector(7 downto 0);
         io_l_en_s         : std_logic_vector(7 downto 0);
  signal io_d_from_t420_s,
  signal io_d_from_t420_s,
         io_d_en_s         : std_logic_vector(3 downto 0);
         io_d_en_s         : std_logic_vector(3 downto 0);
  signal io_g_to_t420_s,
  signal io_g_from_t420_s,
         io_g_from_t420_s,
 
         io_g_en_s         : std_logic_vector(3 downto 0);
         io_g_en_s         : std_logic_vector(3 downto 0);
 
 
  signal so_s,
  signal so_s,
         so_en_s           : std_logic;
         so_en_s           : std_logic;
  signal sk_s,
  signal sk_s,
Line 141... Line 140...
      io_l_i    => io_l_b,
      io_l_i    => io_l_b,
      io_l_o    => io_l_from_t420_s,
      io_l_o    => io_l_from_t420_s,
      io_l_en_o => io_l_en_s,
      io_l_en_o => io_l_en_s,
      io_d_o    => io_d_from_t420_s,
      io_d_o    => io_d_from_t420_s,
      io_d_en_o => io_d_en_s,
      io_d_en_o => io_d_en_s,
      io_g_i    => io_g_to_t420_s,
      io_g_i    => io_g_b,
      io_g_o    => io_g_from_t420_s,
      io_g_o    => io_g_from_t420_s,
      io_g_en_o => io_g_en_s,
      io_g_en_o => io_g_en_s,
      io_in_i   => io_in_i,
      io_in_i   => io_in_i,
      si_i      => si_i,
      si_i      => si_i,
      so_o      => so_s,
      so_o      => so_s,
Line 189... Line 188...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1  2006/05/14 22:29:01  arniml
 
-- initial check-in
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.