OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Diff between revs 68 and 102

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 68 Rev 102
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t400_comp_pack-p.vhd,v 1.5 2006-05-27 19:11:33 arniml Exp $
-- $Id: t400_comp_pack-p.vhd,v 1.6 2006-06-05 14:20:34 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 151... Line 151...
  component t400_decoder
  component t400_decoder
    generic (
    generic (
      opt_type_g : integer := t400_opt_type_420_c
      opt_type_g : integer := t400_opt_type_420_c
    );
    );
    port (
    port (
 
      -- System Interface -----------------------------------------------------
      ck_i       : in  std_logic;
      ck_i       : in  std_logic;
      ck_en_i    : in  boolean;
      ck_en_i    : in  boolean;
      por_i      : in  boolean;
      por_i      : in  boolean;
      res_i      : in  boolean;
      res_i      : in  boolean;
      out_en_i   : in  boolean;
      out_en_i   : in  boolean;
      in_en_i    : in  boolean;
      in_en_i    : in  boolean;
      icyc_en_i  : in  boolean;
      icyc_en_i  : in  boolean;
 
      -- Module Control Interface ---------------------------------------------
      pc_op_o    : out pc_op_t;
      pc_op_o    : out pc_op_t;
      stack_op_o : out stack_op_t;
      stack_op_o : out stack_op_t;
      dmem_op_o  : out dmem_op_t;
      dmem_op_o  : out dmem_op_t;
      b_op_o     : out b_op_t;
      b_op_o     : out b_op_t;
      skip_op_o  : out skip_op_t;
      skip_op_o  : out skip_op_t;
Line 170... Line 172...
      io_d_op_o  : out io_d_op_t;
      io_d_op_o  : out io_d_op_t;
      io_g_op_o  : out io_g_op_t;
      io_g_op_o  : out io_g_op_t;
      io_in_op_o : out io_in_op_t;
      io_in_op_o : out io_in_op_t;
      sio_op_o   : out sio_op_t;
      sio_op_o   : out sio_op_t;
      dec_data_o : out dec_data_t;
      dec_data_o : out dec_data_t;
      is_lbi_o   : out boolean;
 
      en_o       : out dw_t;
      en_o       : out dw_t;
 
      -- Skip Interface -------------------------------------------------------
      skip_i     : in  boolean;
      skip_i     : in  boolean;
      skip_lbi_i : in  boolean;
      skip_lbi_i : in  boolean;
 
      is_lbi_o   : out boolean;
      int_i      : in  boolean;
      int_i      : in  boolean;
 
      -- Program Memory Interface ---------------------------------------------
      pm_addr_i  : in  pc_t;
      pm_addr_i  : in  pc_t;
      pm_data_i  : in  byte_t
      pm_data_i  : in  byte_t
    );
    );
  end component;
  end component;
 
 
  component t400_skip
  component t400_skip
    generic (
    generic (
      opt_type_g : integer := t400_opt_type_420_c
      opt_type_g : integer := t400_opt_type_420_c
    );
    );
    port (
    port (
 
      -- System Interface -----------------------------------------------------
      ck_i       : in  std_logic;
      ck_i       : in  std_logic;
      ck_en_i    : in  boolean;
      ck_en_i    : in  boolean;
      por_i      : in  boolean;
      por_i      : in  boolean;
      res_i      : in  boolean;
      res_i      : in  boolean;
 
      -- Control Interface ----------------------------------------------------
      op_i       : in  skip_op_t;
      op_i       : in  skip_op_t;
      dec_data_i : in  dec_data_t;
      dec_data_i : in  dec_data_t;
      carry_i    : in  std_logic;
      carry_i    : in  std_logic;
      c_i        : in  std_logic;
      c_i        : in  std_logic;
      bd_i       : in  dw_t;
      bd_i       : in  dw_t;
      is_lbi_i   : in  boolean;
      is_lbi_i   : in  boolean;
 
      skip_o     : out boolean;
 
      skip_lbi_o : out boolean;
 
      -- Data Interface -------------------------------------------------------
      a_i        : in  dw_t;
      a_i        : in  dw_t;
      m_i        : in  dw_t;
      m_i        : in  dw_t;
      g_i        : in  dw_t;
      g_i        : in  dw_t;
      tim_c_i    : in  boolean;
      tim_c_i    : in  boolean
      skip_o     : out boolean;
 
      skip_lbi_o : out boolean
 
    );
    );
  end component;
  end component;
 
 
  component t400_io_l
  component t400_io_l
    generic (
    generic (
Line 221... Line 228...
    port (
    port (
      -- System Interface -----------------------------------------------------
      -- System Interface -----------------------------------------------------
      ck_i      : in  std_logic;
      ck_i      : in  std_logic;
      ck_en_i   : in  boolean;
      ck_en_i   : in  boolean;
      por_i     : in  boolean;
      por_i     : in  boolean;
 
      in_en_i   : in  boolean;
      -- Control Interface ----------------------------------------------------
      -- Control Interface ----------------------------------------------------
      op_i      : in  io_l_op_t;
      op_i      : in  io_l_op_t;
      en2_i     : in  std_logic;
      en2_i     : in  std_logic;
      m_i       : in  dw_t;
      m_i       : in  dw_t;
      a_i       : in  dw_t;
      a_i       : in  dw_t;
Line 353... Line 361...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2006/05/27 19:11:33  arniml
 
-- updates for interrupt support
 
--
-- Revision 1.4  2006/05/22 00:03:08  arniml
-- Revision 1.4  2006/05/22 00:03:08  arniml
-- io_in added
-- io_in added
--
--
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- route cko to ALU for INIL instruction
-- route cko to ALU for INIL instruction

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.