OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Diff between revs 37 and 43

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 37 Rev 43
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t400_comp_pack-p.vhd,v 1.2 2006-05-20 02:48:17 arniml Exp $
-- $Id: t400_comp_pack-p.vhd,v 1.3 2006-05-21 21:47:40 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 84... Line 84...
      pm_addr_o  : out pc_t
      pm_addr_o  : out pc_t
    );
    );
  end component;
  end component;
 
 
  component t400_alu
  component t400_alu
 
    generic (
 
      opt_cko_g : integer := t400_opt_cko_crystal_c
 
    );
    port (
    port (
      -- System Interface -----------------------------------------------------
      -- System Interface -----------------------------------------------------
      ck_i       : in  std_logic;
      ck_i       : in  std_logic;
      ck_en_i    : in  boolean;
      ck_en_i    : in  boolean;
      por_i      : in  boolean;
      por_i      : in  boolean;
      res_i      : in  boolean;
      res_i      : in  boolean;
 
      cko_i      : in  std_logic;
      -- Control Interface ----------------------------------------------------
      -- Control Interface ----------------------------------------------------
      op_i       : in  alu_op_t;
      op_i       : in  alu_op_t;
      -- Data Interface -------------------------------------------------------
      -- Data Interface -------------------------------------------------------
      m_i        : in  dw_t;
      m_i        : in  dw_t;
      dec_data_i : in  dec_data_t;
      dec_data_i : in  dec_data_t;
Line 327... Line 331...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/20 02:48:17  arniml
 
-- timer module included
 
--
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
-- import from local CVS repository, LOC_CVS_0_1
-- import from local CVS repository, LOC_CVS_0_1
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.