OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Diff between revs 43 and 49

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 43 Rev 49
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t400_comp_pack-p.vhd,v 1.3 2006-05-21 21:47:40 arniml Exp $
-- $Id: t400_comp_pack-p.vhd,v 1.4 2006-05-22 00:03:08 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 103... Line 103...
      dec_data_i : in  dec_data_t;
      dec_data_i : in  dec_data_t;
      q_low_i    : in  dw_t;
      q_low_i    : in  dw_t;
      b_i        : in  b_t;
      b_i        : in  b_t;
      g_i        : in  dw_t;
      g_i        : in  dw_t;
      in_i       : in  dw_t;
      in_i       : in  dw_t;
      il_i       : in  dw_t;
 
      sio_i      : in  dw_t;
      sio_i      : in  dw_t;
      a_o        : out dw_t;
      a_o        : out dw_t;
      carry_o    : out std_logic;
      carry_o    : out std_logic;
      c_o        : out std_logic
      c_o        : out std_logic
    );
    );
Line 168... Line 167...
      skip_op_o  : out skip_op_t;
      skip_op_o  : out skip_op_t;
      alu_op_o   : out alu_op_t;
      alu_op_o   : out alu_op_t;
      io_l_op_o  : out io_l_op_t;
      io_l_op_o  : out io_l_op_t;
      io_d_op_o  : out io_d_op_t;
      io_d_op_o  : out io_d_op_t;
      io_g_op_o  : out io_g_op_t;
      io_g_op_o  : out io_g_op_t;
 
      io_in_op_o : out io_in_op_t;
      sio_op_o   : out sio_op_t;
      sio_op_o   : out sio_op_t;
      dec_data_o : out dec_data_t;
      dec_data_o : out dec_data_t;
      is_lbi_o   : out boolean;
      is_lbi_o   : out boolean;
      en_o       : out dw_t;
      en_o       : out dw_t;
      skip_i     : in  boolean;
      skip_i     : in  boolean;
Line 280... Line 280...
      io_g_o     : out dw_t;
      io_g_o     : out dw_t;
      io_g_en_o  : out dw_t
      io_g_en_o  : out dw_t
    );
    );
  end component;
  end component;
 
 
 
  component t400_io_in
 
    port (
 
      -- System Interface -----------------------------------------------------
 
      ck_i    : in  std_logic;
 
      ck_en_i : in  boolean;
 
      por_i   : in  boolean;
 
      in_en_i : in  boolean;
 
      -- Control Interface ----------------------------------------------------
 
      op_i    : in  io_in_op_t;
 
      en1_i   : in  std_logic;
 
      -- Port Interface -------------------------------------------------------
 
      io_in_i : in  dw_t;
 
      in_o    : out dw_t;
 
      int_o   : out boolean
 
    );
 
  end component;
 
 
  component t400_sio
  component t400_sio
    generic (
    generic (
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
      opt_so_output_type_g : integer := t400_opt_out_type_std_c;
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
      opt_sk_output_type_g : integer := t400_opt_out_type_std_c
    );
    );
Line 331... Line 348...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2006/05/21 21:47:40  arniml
 
-- route cko to ALU for INIL instruction
 
--
-- Revision 1.2  2006/05/20 02:48:17  arniml
-- Revision 1.2  2006/05/20 02:48:17  arniml
-- timer module included
-- timer module included
--
--
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
-- import from local CVS repository, LOC_CVS_0_1
-- import from local CVS repository, LOC_CVS_0_1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.