OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_comp_pack-p.vhd] - Diff between revs 49 and 68

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 49 Rev 68
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: t400_comp_pack-p.vhd,v 1.4 2006-05-22 00:03:08 arniml Exp $
-- $Id: t400_comp_pack-p.vhd,v 1.5 2006-05-27 19:11:33 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 174... Line 174...
      dec_data_o : out dec_data_t;
      dec_data_o : out dec_data_t;
      is_lbi_o   : out boolean;
      is_lbi_o   : out boolean;
      en_o       : out dw_t;
      en_o       : out dw_t;
      skip_i     : in  boolean;
      skip_i     : in  boolean;
      skip_lbi_i : in  boolean;
      skip_lbi_i : in  boolean;
 
      int_i      : in  boolean;
      pm_addr_i  : in  pc_t;
      pm_addr_i  : in  pc_t;
      pm_data_i  : in  byte_t
      pm_data_i  : in  byte_t
    );
    );
  end component;
  end component;
 
 
  component t400_skip
  component t400_skip
 
    generic (
 
      opt_type_g : integer := t400_opt_type_420_c
 
    );
    port (
    port (
      ck_i       : in  std_logic;
      ck_i       : in  std_logic;
      ck_en_i    : in  boolean;
      ck_en_i    : in  boolean;
      por_i      : in  boolean;
      por_i      : in  boolean;
      res_i      : in  boolean;
      res_i      : in  boolean;
Line 286... Line 290...
    port (
    port (
      -- System Interface -----------------------------------------------------
      -- System Interface -----------------------------------------------------
      ck_i    : in  std_logic;
      ck_i    : in  std_logic;
      ck_en_i : in  boolean;
      ck_en_i : in  boolean;
      por_i   : in  boolean;
      por_i   : in  boolean;
 
      icyc_en_i : in boolean;
      in_en_i : in  boolean;
      in_en_i : in  boolean;
      -- Control Interface ----------------------------------------------------
      -- Control Interface ----------------------------------------------------
      op_i    : in  io_in_op_t;
      op_i    : in  io_in_op_t;
      en1_i   : in  std_logic;
      en1_i   : in  std_logic;
      -- Port Interface -------------------------------------------------------
      -- Port Interface -------------------------------------------------------
Line 348... Line 353...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2006/05/22 00:03:08  arniml
 
-- io_in added
 
--
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- route cko to ALU for INIL instruction
-- route cko to ALU for INIL instruction
--
--
-- Revision 1.2  2006/05/20 02:48:17  arniml
-- Revision 1.2  2006/05/20 02:48:17  arniml
-- timer module included
-- timer module included

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.