Line 1... |
Line 1... |
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
--
|
--
|
-- T400 Microcontroller Core
|
-- T400 Microcontroller Core
|
--
|
--
|
-- $Id: t400_core.vhd,v 1.7 2006-06-05 14:19:15 arniml Exp $
|
-- $Id: t400_core.vhd,v 1.8 2006-06-05 20:34:21 arniml Exp $
|
--
|
--
|
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
|
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
|
--
|
--
|
-- All rights reserved
|
-- All rights reserved
|
--
|
--
|
Line 165... |
Line 165... |
signal in_s : dw_t;
|
signal in_s : dw_t;
|
signal int_s : boolean;
|
signal int_s : boolean;
|
|
|
signal io_g_s : std_logic_vector(io_g_i'range);
|
signal io_g_s : std_logic_vector(io_g_i'range);
|
|
|
|
signal cs_n_s,
|
|
rd_n_s,
|
|
wr_n_s : std_logic;
|
|
|
signal vdd_s : std_logic;
|
signal vdd_s : std_logic;
|
signal gnd4_s : dw_t;
|
signal gnd4_s : dw_t;
|
|
|
begin
|
begin
|
|
|
Line 370... |
Line 374... |
|
|
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- IO L module
|
-- IO L module
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
|
cs_n_s <= io_in_i(2);
|
|
rd_n_s <= io_in_i(1);
|
|
wr_n_s <= io_in_i(3);
|
|
--
|
io_l_b : t400_io_l
|
io_l_b : t400_io_l
|
generic map (
|
generic map (
|
opt_out_type_7_g => opt_l_out_type_7_g,
|
opt_out_type_7_g => opt_l_out_type_7_g,
|
opt_out_type_6_g => opt_l_out_type_6_g,
|
opt_out_type_6_g => opt_l_out_type_6_g,
|
opt_out_type_5_g => opt_l_out_type_5_g,
|
opt_out_type_5_g => opt_l_out_type_5_g,
|
Line 393... |
Line 401... |
en2_i => en_s(2),
|
en2_i => en_s(2),
|
m_i => dm_data_i,
|
m_i => dm_data_i,
|
a_i => a_s,
|
a_i => a_s,
|
pm_data_i => pm_data_i,
|
pm_data_i => pm_data_i,
|
q_o => q_s,
|
q_o => q_s,
|
cs_n_i => io_in_i(2),
|
cs_n_i => cs_n_s,
|
rd_n_i => io_in_i(1),
|
rd_n_i => rd_n_s,
|
wr_n_i => io_in_i(3),
|
wr_n_i => wr_n_s,
|
io_l_i => io_l_i,
|
io_l_i => io_l_i,
|
io_l_o => io_l_o,
|
io_l_o => io_l_o,
|
io_l_en_o => io_l_en_o
|
io_l_en_o => io_l_en_o
|
);
|
);
|
|
|
Line 432... |
Line 440... |
io_g_b : t400_io_g
|
io_g_b : t400_io_g
|
generic map (
|
generic map (
|
opt_out_type_3_g => opt_g_out_type_3_g,
|
opt_out_type_3_g => opt_g_out_type_3_g,
|
opt_out_type_2_g => opt_g_out_type_2_g,
|
opt_out_type_2_g => opt_g_out_type_2_g,
|
opt_out_type_1_g => opt_g_out_type_1_g,
|
opt_out_type_1_g => opt_g_out_type_1_g,
|
opt_out_type_0_g => opt_g_out_type_0_g
|
opt_out_type_0_g => opt_g_out_type_0_g,
|
|
opt_microbus_g => opt_microbus_g
|
)
|
)
|
port map (
|
port map (
|
ck_i => ck_i,
|
ck_i => ck_i,
|
ck_en_i => ck_en_s,
|
ck_en_i => ck_en_s,
|
por_i => por_s,
|
por_i => por_s,
|
res_i => res_s,
|
res_i => res_s,
|
|
cs_n_i => cs_n_s,
|
|
wr_n_i => wr_n_s,
|
op_i => io_g_op_s,
|
op_i => io_g_op_s,
|
m_i => dm_data_i,
|
m_i => dm_data_i,
|
dec_data_i => dec_data_s,
|
dec_data_i => dec_data_s,
|
io_g_o => io_g_o,
|
io_g_o => io_g_o,
|
io_g_en_o => io_g_en_o
|
io_g_en_o => io_g_en_o
|
Line 528... |
Line 539... |
|
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- File History:
|
-- File History:
|
--
|
--
|
-- $Log: not supported by cvs2svn $
|
-- $Log: not supported by cvs2svn $
|
|
-- Revision 1.7 2006/06/05 14:19:15 arniml
|
|
-- connect microbus control signals to IO L
|
|
--
|
-- Revision 1.6 2006/05/27 19:11:33 arniml
|
-- Revision 1.6 2006/05/27 19:11:33 arniml
|
-- updates for interrupt support
|
-- updates for interrupt support
|
--
|
--
|
-- Revision 1.5 2006/05/23 01:13:56 arniml
|
-- Revision 1.5 2006/05/23 01:13:56 arniml
|
-- use to_X01 for G input
|
-- use to_X01 for G input
|