OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core.vhd] - Diff between revs 2 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 37
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T400 Microcontroller Core
-- T400 Microcontroller Core
--
--
-- $Id: t400_core.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $
-- $Id: t400_core.vhd,v 1.2 2006-05-20 02:48:17 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 157... Line 157...
  signal is_lbi_s        : boolean;
  signal is_lbi_s        : boolean;
  signal en_s            : dw_t;
  signal en_s            : dw_t;
 
 
  signal skip_s,
  signal skip_s,
         skip_lbi_s      : boolean;
         skip_lbi_s      : boolean;
 
  signal tim_c_s         : boolean;
 
 
  signal in_s,
  signal in_s,
         il_s            : dw_t;
         il_s            : dw_t;
 
 
  signal vdd_s  : std_logic;
  signal vdd_s  : std_logic;
Line 308... Line 309...
      bd_i       => b_s(bd_range_t),
      bd_i       => b_s(bd_range_t),
      is_lbi_i   => is_lbi_s,
      is_lbi_i   => is_lbi_s,
      a_i        => a_s,
      a_i        => a_s,
      m_i        => dm_data_i,
      m_i        => dm_data_i,
      g_i        => io_g_i,
      g_i        => io_g_i,
      tim_c_i    => gnd4_s(0),
      tim_c_i    => tim_c_s,
      skip_o     => skip_s,
      skip_o     => skip_s,
      skip_lbi_o => skip_lbi_s
      skip_lbi_o => skip_lbi_s
    );
    );
 
 
 
 
Line 463... Line 464...
      so_en_o    => so_en_o,
      so_en_o    => so_en_o,
      sk_o       => sk_o,
      sk_o       => sk_o,
      sk_en_o    => sk_en_o
      sk_en_o    => sk_en_o
    );
    );
 
 
 
 
 
  -----------------------------------------------------------------------------
 
  -- Timer module
 
  -----------------------------------------------------------------------------
 
  tim: if opt_type_g = t400_opt_type_420_c generate
 
    timer_b : t400_timer
 
      port map (
 
        ck_i      => ck_i,
 
        ck_en_i   => ck_en_s,
 
        por_i     => por_s,
 
        icyc_en_i => icyc_en_s,
 
        op_i      => skip_op_s,
 
        c_o       => tim_c_s
 
      );
 
  end generate;
 
 
 
  notim: if opt_type_g /= t400_opt_type_420_c generate
 
    tim_c_s <= false;
 
  end generate;
 
 
end struct;
end struct;
 
 
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
 
-- import from local CVS repository, LOC_CVS_0_1
 
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.