OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core.vhd] - Diff between revs 49 and 53

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 49 Rev 53
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T400 Microcontroller Core
-- T400 Microcontroller Core
--
--
-- $Id: t400_core.vhd,v 1.4 2006-05-22 00:03:29 arniml Exp $
-- $Id: t400_core.vhd,v 1.5 2006-05-23 01:13:56 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 162... Line 162...
         skip_lbi_s      : boolean;
         skip_lbi_s      : boolean;
  signal tim_c_s         : boolean;
  signal tim_c_s         : boolean;
 
 
  signal in_s            : dw_t;
  signal in_s            : dw_t;
 
 
 
  signal io_g_s          : std_logic_vector(io_g_i'range);
 
 
  signal vdd_s  : std_logic;
  signal vdd_s  : std_logic;
  signal gnd4_s : dw_t;
  signal gnd4_s : dw_t;
 
 
begin
begin
 
 
Line 174... Line 176...
  gnd4_s <= (others => '0');
  gnd4_s <= (others => '0');
 
 
  ck_en_s <= ck_en_i = '1';
  ck_en_s <= ck_en_i = '1';
  por_s   <= por_n_i = '0';
  por_s   <= por_n_i = '0';
 
 
 
  io_g_s  <= to_X01(io_g_i);
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Clock generator
  -- Clock generator
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  clkgen_b : t400_clkgen
  clkgen_b : t400_clkgen
    generic map (
    generic map (
Line 307... Line 311...
      c_i        => c_s,
      c_i        => c_s,
      bd_i       => b_s(bd_range_t),
      bd_i       => b_s(bd_range_t),
      is_lbi_i   => is_lbi_s,
      is_lbi_i   => is_lbi_s,
      a_i        => a_s,
      a_i        => a_s,
      m_i        => dm_data_i,
      m_i        => dm_data_i,
      g_i        => io_g_i,
      g_i        => io_g_s,
      tim_c_i    => tim_c_s,
      tim_c_i    => tim_c_s,
      skip_o     => skip_s,
      skip_o     => skip_s,
      skip_lbi_o => skip_lbi_s
      skip_lbi_o => skip_lbi_s
    );
    );
 
 
Line 332... Line 336...
      op_i       => alu_op_s,
      op_i       => alu_op_s,
      m_i        => dm_data_i,
      m_i        => dm_data_i,
      dec_data_i => dec_data_s,
      dec_data_i => dec_data_s,
      q_low_i    => q_s(3 downto 0),
      q_low_i    => q_s(3 downto 0),
      b_i        => b_s,
      b_i        => b_s,
      g_i        => io_g_i,
      g_i        => io_g_s,
      in_i       => in_s,
      in_i       => in_s,
      sio_i      => sio_s,
      sio_i      => sio_s,
      a_o        => a_s,
      a_o        => a_s,
      carry_o    => carry_s,
      carry_o    => carry_s,
      c_o        => c_s
      c_o        => c_s
Line 516... Line 520...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.4  2006/05/22 00:03:29  arniml
 
-- io_in added
 
--
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- Revision 1.3  2006/05/21 21:47:40  arniml
-- route cko to ALU for INIL instruction
-- route cko to ALU for INIL instruction
--
--
-- Revision 1.2  2006/05/20 02:48:17  arniml
-- Revision 1.2  2006/05/20 02:48:17  arniml
-- timer module included
-- timer module included

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.